Part Number Hot Search : 
Z27VC TR7217 SI7403DN 13003D SMBTA06 AN8097BH AN1536 IRS21
Product Description
Full Text Search
 

To Download PM73121-RI Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  pm73121 aal1gator ii pmc-sierra, inc. pmc-980620 issue 3 aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86( pm73121 aal1gator ii aal1 segmentation and reassembly processor data sheet issue 3: january 1999
pmc-sierra, inc. pm73121 aal1gator ii pmc-980620 issue 3 aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86( aal1gator ii is a trademark of pmc-sierra, inc. at&t is a registered trademark of at&t ecliptek is a registered trademark of ecliptek corporation level one is a registered trademark of level one communications, inc. syncfifo is a trademark of integrated device technology, inc. mitel is a registered trademark of mitel corporation all other brand or product names are trademarks or registered trademarks of their respective companies or organizations. note: the aal1gator ii device contains srts logic that bellcore holds the patent on. please refer to the note on page 172 for more information regarding bellcores srts patent.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86( whats new in this data sheet? this revision history documents the changes that occur from one data sheet version to the next version. from version to version major changes issue 2 issue 3 ? corrected the function description in section 7.8.12 r_oam_queue, on page 163 . ? removed preliminary from the headers. ? removed the p suffix from the part number in appendix 29, ordering information, on page 205 . ? changed the following timing parameters: ? interrupt timing: proc_intr tq(max) from 16.5 ns to 17 ns. ? microprocessor ram read cycle: /mem_cs tq(max) from 17.7 ns to 18 ns. ? microprocessor ram read cycle: tqmoe(max) from 24.7 ns to 25 ns. ? microprocessor ram write cycle: /proc_ack tq(max) from 17.5 ns to 18 ns. ? microprocessor ram write cycle: /mem_cs tq(max) from 17.7 ns to 18 ns. ? microprocessor write command register: /proc_ack tq(max) from 17.5 ns to 18 ns. ? transmit side interface: rl_ser th(min) from 1.2 ns to 2 ns. ? transmit side high-speed interface: rl_ser th(min) from 1.2 ns to 2 ns. ? transmit utopia atm timing: tatm_data tq(max) from 12.7 ns to 13 ns. ? tutopia sphy timing: rphy_data tq(max) from 12.7 ns to 13 ns. ? tutopia mphy timing: rphy_data tq(max) from 12.7 ns to 13 ns.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86( issue 1 issue 2 ? removed pin 237, p_out, from pinout table. ? in t_queue_tbl, added clarifications to queue_credits and avg_sub_valu fields for single ds0 no pointer mode. ? changed itype3 to itypds3 in dc operating conditions table. ? changed the following timing parameters: ? interrupt timing: proc_intr tq(max) from 16 ns to 16.5 ns. ? microprocessor ram read cycle: /mem_cs tq(max) from 15 ns to 17.7 ns. ? microprocessor ram read cycle: tqmoe(max) from 22 ns to 24.7 ns. ? tzsu, tded, and tzen are now specified as typical, instead of minimum and maximum. ? microprocessor ram write cycle: /proc_ack tq(max) from 15 ns to 17.5 ns. ? microprocessor ram write cycle: /mem_cs tq(max) from 15 ns to 17.7 ns. ? microprocessor write command register: /proc_ack tq(max) from 15 to 17.5 ns. ? ram write cycle: /mem_we twp(min) from tch - 1 to tch - 1.3, and twp(max) from tch to tch +0.3. ? receive side low speed interface: tl_ser, tl_sig tq(max) from 12 ns to 14 ns. ? transmit side interface: rl_ser th(min) from 1.0 to 1.2 ns. ? transmit side high-speed interface: rl_ser th(min) from 1.0 to 1.2 ns. ? transmit utopia atm timing: tatm_data tq(max) from 12 ns to 12.7 ns. ? tutopia sphy timing: rphy_data tq(max) from 12 ns to 12.7 ns. ? tutopia mphy timing: rphy_data tq(max) from 12 ns to 12.7 ns. ? added dc operating conditions: i type1 (max)=420ma and i typds3 (max)=482ma. ? in absolute maximum ratings section, removed undershoot/ overshoot specification, and replaced with absolute maximum voltage range for ttl inputs. ? moved all timing requirements on external logic for ram and microprocessor interface from section 6.5 to section 8.11. from version to version major changes
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86( 04/17/98 issue 1 ? changed from wac-121-a to pm73121. ? changed from users manual to long form data sheet. ? deleted references to the bt_mode and default mode. ? added pmc part numbers to figure 5 on page 11 , figure 6 on page 12 , figure 7 on page 13 , and figure 86 on page 168 . ? under the r_line_state word format section on page 156 , added not used in udf-hs mode. for the r_underrun and r_resume field descriptions. ?under section 7.11 activating a new queue on an active line, on page 167 , changed from cmd_reg_attn to csd_reg_attn bit. 01/21/98 04/17/98 ? changed references from srts_port to srts_line throughout the manual. ? added the sixth bullet on page 6. ?under potential system impacts on page 7 , added hardware considerations. ? deleted the first paragraph on page 65. ? replaced section 3.7.1 srts for the receive side starting on page 66 with section 3.7.1 generation of tl_clk starting on page 68 . ?added section 3.7.1.1 recovered mode starting on page 69 , section 3.7.1.2 synthesize a nominal e1 or t1 clock starting on page 69 , and section 3.7.1.3 synthesize an e1 or t1 clock based on srts starting on page 70 . ?in table 9 on page 88 , changed the last sentence of the sys_clk description to read the maximum frequency is 40 mhz. ?in table 10 on page 89 , added the note to the description of / scan_trst on page 89. ? under section 6.5 ram and microprocessor timing starting on page 104, changed the first sentence of the third paragraph from running at 38.88 mhz to running near maximum speed. ? the first sentence of the fourth paragraph changed from (38.88 mhz) to (40.00 mhz). ? in figure 69 on page 105, changed sys_clk from 38.88 mhz to 40.00 mhz. ? in table 21 on page 105, changed the maximum value of number 12 from 8 to 7. from version to version major changes
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86( 01/21/98 04/17/98 ?under section 6.5.1 ram timing starting on page 103 , changed from 12 ns or 15 ns srams to 12 ns srams, changed from data setup time of 8 ns to data setup time of 7 ns, and changed from sys_clk is 38.88 mhz to sys_clk is 40 mhz. ? in the table after figure 80 on page 119 , changed the fc maximum value from 38.89 to 40.00. ?under queue_config word format section starting on page 135 , added the second and third bullet to the note for the frames_per_cell description and the note for the bytes_per_cell description. ?under section 7.8.6 r_ch_to_queue_tbl, on page 148 , added the sixth sentence to the function description. ?under section 8.6 board requirements for the sram interface starting on page 174 , changed the third paragraph from srams must be 15 ns or faster to srams must be 12 ns or faster, changed from data setup of 8 ns to data setup of 7 ns and deleted the following four sentences. ?added section 8.7 udf-hs mode srts-based clock recovery application for ds3 starting on page 180 , section 8.8 interfacing with the mitel mt8980 digital switch, on page 182 , section 8.9 interfacing with the atm cell multiplexer (wac- 185-b-x), on page 183 , and section 8.10 jitter characteristics of clock synthesis logic on page 185. 10/17/97 (first version of the wac-121-a users manual) 01/21/98 ? in the first sentence under description on page 1, added e3 to the list of lines supported. ? under section 2.2 circuit interface features starting on page 16, added the dallas semiconductor part ds2152 to the second bullet, added the dallas semiconductor part ds2154 to the third bullet, deleted the igt ds3 framer (tac-030-a), and deleted the dallas part number ds2180a. ? under section 2.4 receive interface features on page 18, changed the fourth bullet text from 0 to 48 ms to 0 to 24 ms. ? under section 2.5 statistics starting on page 19, deleted text from the fourth bullet and added the fourth sentence in the first paragraph on page 20 . ? under section 2.7 srts and transmit line interface clock configurations on page 21, and section 3.1. srts for the transmit side added the note regarding bellcores srts patent. from version to version major changes
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86( 10/17/97 (first version of the wac-121-a users manual) 01/21/98 ? under section 2.8.3 peak cell rates and partial cells, on page 22 , changed the formula for tcgt oam . ?under section 3.1.2 transmit signaling freezing, on page 32 , added the dallas semiconductor part numbers ds2152 and ds2154. ? changed the signal name text in the parentheses in figure 23 on page 35 . ?added step 8 on page 25 , step 9 on page 25 , and step 10 on page 25 . ? deleted the note after step 10 on page 36 and added ten more paragraphs. ?added section 3.2.1 transmit cdv starting on page 37 . ?under section 3.3.2.1 header construction, on page 39 , revised the fourth sentence. ?under section 3.4 transmit utopia interface block (tutopia) starting on page 41 , added the last two sentences to the first paragraph on page 43 . ?under section 3.6 receive adaptation layer processor (ralp) starting on page 46 , changed the last sentence of the third paragraph on page 47 , added text to the second, sixth, and eight bullets on page 50 . ?under section 3.6.2 underrun starting on page 60 , added text to the third paragraph on page 60 . ?under section 3.6.3 pointer processing, on page 61 , added to text to the third paragraph. ?under section 3.6.4 overrun starting on page 62 , added text to the first and third paragraphs. ? added step 6 to the notes in figure 47 on page 64 . ?under section 3.6.5 counters and sticky bits, on page 65 , revised the first paragraph. ?under section 3.7 receive frame transfer controller (rftc) starting on page 65 . ?under section 3.7.1 srts for the receive side starting on page 66 , revised the first and second paragraphs on page 69 . ? in the headings of the first columns in table 3 on page 72 and in table 4 on page 72 , changed srts_port(3:0) to srts_line(3:0). ?under section 3.8 memory interface and arbitration controller (miac) starting on page 74 , added the last sentence to the third paragraph. ?added section 3.9 configuration, on page 75 . from version to version major changes
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86( 10/17/97 (first version of the wac-121-a users manual) 01/21/98 ? in table 6, starting on page 81 , deleted the last two sentences from the description of rphy_clav on page 82 and the description of tphy_clav on page 83 . ?under figure 62 on page 96 , changed the maximum value of tq from 12 to 13. ?under section 6.4.1 rutopia as the atm layer device, on page 100 , added the second paragraph. ? in table 21 on page 105, changed the maximum value of number 16 from 2 to 1 and number 18 from 7 to 6. ?under figure 69 on page 104 , changed the minimum value of twc from tp-1 to tp-2, twsu from 2 to 1, and added text to the second bullet under notes. ?revised figure 70 on page 105 and the corresponding table. ?under figure 71 on page 107 , changed the maximum value of tded from 24 to 25 and taed from 19 to 20. ?under figure 72 on page 109 , changed the maximum value of taed from 19 to 20. ?under figure 73 on page 112 , changed the maximum value of tded from 24 to 25. ?under figure 76 on page 117 , changed the maximum value of tq from 12 to 16. ? deleted section 7 boundary scan starting on page 119 and renumbered the remaining sections. ?under que_credits word format section on page 137 , changed from reserved to frame_remainder and added description. ? under the cmdreg word format section on page 165 , revised the description for csd_attn . ? added the second bullet to notes on page 166 . ?under section 7.11 activating a new queue on an active line, on page 167 , added the third bullet to notes. ?added section 8.4 external fifo application starting on page 171 . ?under section 8.5 external srts-based clock recovery application, on page 172 , added the last two paragraphs. from version to version major changes
pmc-sierra, inc. pm73121  aal1gator ii pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86( l table of contents revision history. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ii whats new in this data sheet? . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . v description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 new features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4 potential system impacts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7 hardware considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7 software considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7 pm73121 required board modifications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8 1 system applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10 1.1 replacing a tdm digital access cross-connect system (dacs) with an atm system . . . . . . . . . . . . . 10 1.2 replacing a multiplexer level 1 to level 3 (m13) with an atm system . . . . . . . . . . . . . . . . . . . . . . . . . 11 1.3 access multiplexer application . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12 1.4 using the aal1gator ii in an enterprise atm switch application. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13 2 system features. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14 2.1 data formats . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14 2.1.1 structured cell formats. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15 2.1.2 unstructured cell formats. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16 2.2 circuit interface features. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16 2.3 transmit interface features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17 2.4 receive interface features. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18 2.5 statistics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19 2.6 interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 2.7 srts and transmit line interface clock configurations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 2.8 peak cell rates (pcrs) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 2.8.1 peak cell rates (pcrs) for structured cell formats . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 2.8.2 peak cell rates (pcrs) for unstructured cell formats . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 2 2.8.3 peak cell rates and partial cells. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 2.8.3.1 sdf-mf mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23 2.8.3.1.1 for e1 mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23 2.8.3.1.2 for t1 mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23 2.8.3.2 sdf-fr mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23 2.8.3.3 udf-ml mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23
pmc-sierra, inc. pm73121  aal1gator ii pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86( ll 3 theory of operations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24 3.1 transmit frame transfer controller (tftc) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25 3.1.1 transmit conditioning. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32 3.1.2 transmit signaling freezing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32 3.1.3 srts for the transmit side . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32 3.2 cell service decision (csd) circuit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33 3.2.1 transmit cdv . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37 3.3 transmit adaptation layer processor (talp) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38 3.3.1 oam cell generation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38 3.3.2 data cell generation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39 3.3.2.1 header construction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39 3.3.2.2 payload construction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39 3.4 transmit utopia interface block (tutopia). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41 3.5 receive utopia interface block (rutopia) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44 3.6 receive adaptation layer processor (ralp) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46 3.6.1 handling data and signaling bytes in a structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59 3.6.2 underrun . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60 3.6.3 pointer processing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61 3.6.4 overrun . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62 3.6.5 counters and sticky bits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65 3.6.6 oam cells. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65 3.6.7 interrupt handling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65 3.7 receive frame transfer controller (rftc) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65 3.7.1 generation of tl_clk . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68 3.7.1.1 recovered mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69 3.7.1.2 synthesize a nominal e1 or t1 clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69 3.7.1.3 synthesize an e1 or t1 clock based on srts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70 3.7.2 adaptive clock operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71 3.8 memory interface and arbitration controller (miac) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 74 3.9 configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75 4 pin descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76 4.1 package diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76 4.2 pinout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78 4.2.1 pinout diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78 4.2.2 pinout table. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79 4.3 pin descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81 4.3.1 utopia interface signals. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81 4.3.2 memory interface signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84 4.3.3 t1/e1 interface signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84 4.3.4 microprocessor interface signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88 4.3.5 jtag and process test signals. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89
pmc-sierra, inc. pm73121  aal1gator ii pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86( lll 5 physical characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90 6 timing diagrams . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92 6.1 transmit side line interface timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92 6.2 receive side line interface timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 95 6.3 transmit utopia timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97 6.3.1 tutopia as the atm layer device . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97 6.3.2 tutopia as the phy layer device in single phy (sphy) mode . . . . . . . . . . . . . . . . . . . . . . . . 98 6.3.3 tutopia as the phy layer device in multi-phy (mphy) mode . . . . . . . . . . . . . . . . . . . . . . . . 99 6.4 receive utopia timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100 6.4.1 rutopia as the atm layer device . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100 6.4.2 rutopia as the phy layer device in single-phy (sphy) mode . . . . . . . . . . . . . . . . . . . . . . . 101 6.4.3 rutopia as the phy layer device in multi-phy (mphy) mode . . . . . . . . . . . . . . . . . . . . . . . 102 6.5 ram and microprocessor timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103 6.5.1 ram timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103 6.5.2 microprocessor timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105 6.5.2.1 microprocessor ram write cycle timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105 6.5.2.2 microprocessor ram read cycle timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 108 6.5.2.3 microprocessor write command register timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 110 6.5.2.4 microprocessor read command register timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 113 6.5.3 microprocessor holdoff timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 116 6.6 interrupt timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117 6.7 srts timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118 6.8 miscellaneous timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119 6.8.1 sys_clk timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119 6.8.2 reset timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119 6.8.3 jtag timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 120 7 control registers and data structures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121 7.1 general. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121 7.2 initialization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123 7.3 control registers summary. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123 7.4 control register descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123 7.4.1 device_rev. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124 7.4.2 comp_lin_reg . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125 7.4.3 lin_str_mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 126 7.5 transmit data structures summary. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127 7.6 transmit data structures descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 128 7.6.1 p_fill_char . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 128 7.6.2 t_add_queue. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 128 7.6.3 t_seqnum_tbl . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129 7.6.4 t_cond_sig . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 130 7.6.5 t_cond_data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131
pmc-sierra, inc. pm73121  aal1gator ii pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86( ly 7.6.6 reserved (transmit signaling buffer). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 132 7.6.7 t_oam_queue . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133 7.6.8 t_queue_tbl . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 134 7.6.9 reserved (transmit data buffer). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 139 7.6.10 math_tbl . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 140 7.7 receive data structures summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141 7.8 receive data structures descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 142 7.8.1 r_oam_queue_tbl . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 143 7.8.2 r_oam_cell_cnt . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144 7.8.3 r_dropped_oam_cell_cnt . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14 4 7.8.4 r_srts_config . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 145 7.8.5 r_crc_syndrome . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 146 7.8.6 r_ch_to_queue_tbl. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 148 7.8.7 r_cond_sig. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 150 7.8.8 r_cond_data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 151 7.8.9 reserved (receive srts queue) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 152 7.8.10 reserved (receive signaling buffer) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 153 7.8.11 r_queue_tbl . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 154 7.8.12 r_oam_queue . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 163 7.8.13 reserved (receive data buffer) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 164 7.9 cmdreg (command register) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 165 7.10 activating a new line after reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 166 7.11 activating a new queue on an active line . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 167 7.12 making changes to an active queue . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 167 8 application notes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 168 8.1 ds1 application . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 168 8.2 interface circuit with typical framer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 169 8.3 ds3 application . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 170 8.4 external fifo application . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 171 8.5 external srts-based clock recovery application . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 172 8.6 board requirements for the sram interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174 8.6.1 sram with 7 ns write data setup and a ttl clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 176 8.6.2 sram with 7 ns write data setup and a cmos clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 177 8.6.3 sram with 8 ns write data setup and a ttl clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 178 8.6.4 sram with 8 ns write data setup and a cmos clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 178 8.6.5 layout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 179 8.7 udf-hs mode srts-based clock recovery application for ds3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . 180 8.8 interfacing with the mitel mt8980 digital switch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 182 8.9 interfacing with the atm cell multiplexer (wac-185-b-x) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18 3 8.10 jitter characteristics of clock synthesis logic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 185 8.10.1 nominal t1 clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 185 8.10.2 nominal e1 clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 187
pmc-sierra, inc. pm73121  aal1gator ii pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86( y 8.10.3 srts t1 clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 188 8.10.4 srts e1 clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 191 8.11 timing requirements on external logic for ram and microprocessor interface . . . . . . . . . . . . . . . . 194 appendix a nomenclature . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 198 a.1 definitions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 198 a.2 signal name prefixes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 198 a.3 numbers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 198 a.4 glossary of abbreviation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 199 appendix b references . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 203
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86( yl list of figures figure 1. aal1gator ii block diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3 figure 2. block diagram of the aal1gator ii connected to eight 2 mbit/s data streams . . . . . . . . . . . . . . . . . . . 3 figure 3. block diagram of the aal1gator ii connected to one 45 mbit/s data stream . . . . . . . . . . . . . . . . . . . . 3 figure 4. using the aal1gator ii as part of a tdm dacs replacement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10 figure 5. using the aal1gator ii as part of an m13 replacement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11 figure 6. using the aal1gator ii in an atm access multiplexer application . . . . . . . . . . . . . . . . . . . . . . . . . . . 12 figure 7. using the aal1gator ii in an enterprise atm switch application . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13 figure 8. examples of structured cell formats . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15 figure 9. examples of unstructured cell formats . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16 figure 10. aal1gator ii block diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24 figure 11. capture of t1 signaling bits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26 figure 12. capture of e1 signaling bits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26 figure 13. transmit frame transfer controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27 figure 14. t1 esf sdf-mf format of the t_data_buffer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28 figure 15. t1 sf sdf-mf format of the t_data_buffer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28 figure 16. t1 sdf-fr format of the t_data_buffer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29 figure 17. e1 sdf-mf format of the t_data_buffer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30 figure 18. e1 sdf-mf with t1 signaling format of t_data_buffer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30 figure 19. e1 sdf-fr format of the t_data_buffer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31 figure 20. unstructured format of the t_data_buffer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31 figure 21. sdf-mf format of the t_signaling_buffer (t1 and e1 modes) . . . . . . . . . . . . . . . . . . . . . . . 32 figure 22. transmit side srts support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33 figure 23. frame advance fifo operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35 figure 24. payload generation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41 figure 25. transmit utopia timing (atm mode) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42 figure 26. tutopia start-of-transfer timing (phy mode) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43 figure 27. tutopia end-of-transfer timing (phy mode) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43 figure 28. receive utopia timing (atm mode) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44 figure 29. rutopia start-of-transfer timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46 figure 30. rutopia end-of-transfer timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46 figure 31. cell header interpretation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47 figure 32. fast sn algorithm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51 figure 33. receive cell processing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52 figure 34. cell reception . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53 figure 35. t1 esf sdf-mf format of the r_data_buffer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54 figure 36. t1 sf sdf-mf format of r_data_buffer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54 figure 37. t1 sdf-fr format of the r_data_buffer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86( yll figure 38. e1 sdf-mf format of the r_data_buffer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55 figure 39. e1 sdf-mf with t1 signaling format of the r_data_buffer . . . . . . . . . . . . . . . . . . . . . . . . . . . 56 figure 40. e1 sdf-fr format of the r_data_buffer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56 figure 41. unstructured format of the r_data_buffer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57 figure 42. t1 esf sdf-mf format of the r_sig_buffer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57 figure 43. t1 sf sdf-mf format of the r_sig_buffer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58 figure 44. e1 sdf-mf format of the r_sig_buffer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58 figure 45. e1 sdf-mf mode with t1 signaling format of the r_sig_buffer . . . . . . . . . . . . . . . . . . . . . . . . 59 figure 46. pointer/structure state machine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62 figure 47. overrun detection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64 figure 48. output of t1 signaling bits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66 figure 49. output of e1 signaling bits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66 figure 50. channel-to-queue table operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68 figure 51. receive side srts support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71 figure 52. direct adaptive clock operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 74 figure 53. 240-pin physical dimensions diagram (part 1 of 2) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76 figure 53. 240-pin physical dimensions diagram (part 2 of 2) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 77 figure 54. aal1gator ii pinout diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78 figure 55. transmit side interface bit timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92 figure 56. transmit side t1 interface frame timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 93 figure 57. transmit side e1 interface frame timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94 figure 58. transmit side high-speed interface timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94 figure 59. receive side low-speed interface bit timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 95 figure 60. receive side t1 interface frame timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96 figure 61. receive side e1 interface timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96 figure 62. receive side high-speed interface timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96 figure 63. transmit utopia atm timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97 figure 64. tutopia sphy timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98 figure 65. tutopia mphy timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99 figure 66. receive utopia atm timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101 figure 67. rutopia sphy timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102 figure 68. rutopia mphy timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103 figure 69. ram write cycle timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104 figure 70. ram read cycle timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105 figure 71. microprocessor ram write cycle timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107 figure 72. microprocessor ram read cycle timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109 figure 73. microprocessor write command register timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112 figure 74. microprocessor read command register timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115 figure 75. microprocessor holdoff timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 116 figure 76. microprocessor output delay timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117 figure 77. interrupt timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117 figure 78. low-speed srts timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86( ylll figure 79. high-speed srts timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118 figure 80. sys_clk timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119 figure 81. reset timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119 figure 82. jtag timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 120 figure 83. transmit and receive data structures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122 figure 84. sdf-mf format of t_signaling_buffer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 132 figure 85. math operation results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141 figure 86. typical ds1 application . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 168 figure 87. typical pmc quad framer interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 169 figure 88. typical ds3 application . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 170 figure 89. typical external fifo application . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 171 figure 90. srts-based clock recovery circuitry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 173 figure 91. suggested aal1gator ii memory interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174 figure 92. srts-based clock recovery circuit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 180 figure 93. interfacing with the mitel mt8980 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 182 figure 94. interfacing timing with the mitel mt8980 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 182 figure 95. connecting eight aal1gator iis to a wac-185-b-x . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 184 figure 96. nominal t1 clock with no jitter attenuator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 185 figure 97. nominal t1 clock with jitter attenuator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 186 figure 98. nominal e1 clock with no jitter attenuator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 187 figure 99. nominal e1 clock with jitter attenuator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 187 figure 100. maximum t1 srts jitter with no jitter attenuator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 188 figure 101. maximum t1 srts jitter with jitter attenuator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 189 figure 102. t1 srts clock with no jitter attenuator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 189 figure 103. t1 srts clock with jitter attenuator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 190 figure 104. maximum e1 srts jitter with no jitter attenuator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 191 figure 105. maximum e1 srts jitter with jitter attenuator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 192 figure 106. e1 srts clock with no jitter attenuator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 192 figure 107. e1 srts clock with jitter attenuator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 193 figure 108. suggested aal1gator ii memory interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 195 figure 109. address buffer (fct244) timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 196 figure 110. bidirectional data latch (fct646) timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 197 figure 111. ram read timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 197 figure 112. ram write timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 197
pmc-sierra, inc. pm73121  aal1gator ii pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86( l[ list of tables table 1. data formats and aal1gator ii modes to support them . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14 table 2. statistics counters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19 table 3. channel status . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72 table 4. frame difference . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72 table 5. aal1gator ii pinout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79 table 6. utopia interface signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81 table 7. memory interface signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84 table 8. t1/e1 interface signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84 table 9. microprocessor interface signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88 table 10. jtag and process test signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89 table 11. absolute maximum ratings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90 table 12. recommended operating conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90 table 13. dc operating conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90 table 14. capacitance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91 table 15. signaling format for t1 mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 93 table 16. signaling format for e1 mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94 table 17. transmit signal names and corresponding utopia designations . . . . . . . . . . . . . . . . . . . . . . . . . . . 97 table 18. receive signal names and corresponding utopia designations . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98 table 19. receive signal names and corresponding utopia designations . . . . . . . . . . . . . . . . . . . . . . . . . . . 100 table 20. transmit signal names and corresponding utopia designations . . . . . . . . . . . . . . . . . . . . . . . . . . 101 table 21. control register summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123 table 22. transmit data structures summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127 table 23. receive data structures summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141 table 24. delay values for different resistors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 175 table 25. memory interface system clock operating conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 180 table 26. recommended worst-case parameters for suggested memory interface . . . . . . . . . . . . . . . . . . . . . 195 table 27. prefixes and associated functional layers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 198 table 28. standard abbreviations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 199 table 29. ordering information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 205
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  wac-121-a description the aal1 segmentation and reassembly (sar) processor (aal1gator ii?) provides ds1, e1, e3, or ds3 line interface access to an atm adaptation layer one (aal1) constant bit rate (cbr) atm network. it arbitrates access to an external sram for storage of the configuration, the user data, and the statistics. the device provides a microprocessor interface for configuration, management, and statistics gathering. pmc-sierra also offers a software device control package for the aal1gator ii device. features circuit interface features ? provides aal1 segmentation and reassembly of eight 2 mbit/s data streams or one 45 mbit/s or less data stream. ? supports 256 virtual channels (vcs) (32 per line). ? supports n 64 structured data format. ? supports arbitrary timeslot-to-vc mappings, including alternating timeslots. ? provides common channel signaling (ccs) and channel associated signaling (cas) configuration options. ? provides per-vc data and signaling conditioning in both the transmit and the receive directions. ? arbitrates a 16-bit microprocessor interface to a 128k 16 (12 ns) sram. ? supports multicast connections, atm monitoring (amon), remote monitoring (rmon), and atm circuit steering (acs). ? supports adaptive clocking in structured data format, frame-based (sdf-fr), structured data format, multiframe-based (sdf-mf), and unstructured data format, multiple line (udf-ml) modes. transmit cell interface features ? provides an atm-layer or phy-layer 33 mhz utopia interface. both single phy (sphy) and multi-phy (mphy) modes are supported. ? provides per-vc transmit queueing. ? provides a calendar queue service algorithm that produces minimal cell delay variation (cdv). ? provides a supervisory transmit buffer for operations, administration, and maintenance (oam), and for atm signaling. ? generates pointers for structured data transmission. ? provides sequence number and sequence number protection generation. ? provides partially filled cell generation with the length configurable on a per-vc basis. ? generates and transmits synchronous residual time stamp (srts) values for unstructured modes. ? built-in transmit line clock generation based on received srts values, receive line clock, or a nominal frequency.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  receive cell interface features ? provides an atm-layer or phy-layer 33 mhz utopia interface. both sphy and mphy modes are supported. ? provides per-vc queues. ? provides per-vc cdv tolerance settings. ? provides per-vc partially filled cell length settings. ? provides a supervisory receive queue for oam cells. ? verifies and corrects sequence numbers in accordance with itu-t recommendation i.363.1. ? processes sequence numbers in accordance with the fast sn algorithm, as specified in the itu-t recommendation i.363.1. ? maintains bit integrity through individual errored cells or up to six lost cells. takes into account pointer bytes. ? during underruns, can output fixed, pseudorandom, or old data. ? provides processor interrupts for oam cell receptions. ? provides a multiplexed interface to external receive phase-locked loops (plls) for srts clock recovery for unstructured modes or adaptive clock recovery. statistics features ? counts invalid cyclic redundancy check (crc) values for sequence numbers. ? counts oam cells and dropped oam cells. ? counts data cells transmitted per vc. ? counts conditioned data cells transmitted per vc. ? counts cells not transmitted due to line resynchronization per vc. ? counts cells received, dropped, lost, or misinserted per vc. ? counts cells with incorrect sequence number (sn) or incorrect sequence number protection (snp). ? counts underrun occurrences per vc. ? counts overrun occurrences per vc. ? counts pointer reframes and pointer parity errors per vc.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  figure 1 shows a simple block diagram of the aal1gator ii. figure 2 shows a system block diagram of the aal1gator ii connected to eight 2 mbit/s data streams. figure 3 shows a system block diagram of the aal1gator ii connected to one 45 mbit/s data stream. figure 1. aal1gator ii block diagram figure 2. block diagram of the aal1gator ii connected to eight 2 mbit/s data streams figure 3. block diagram of the aal1gator ii connected to one 45 mbit/s data stream transmit frame transfer controller (tftc) microprocessor control bus input from ds line output to utopia input from utopia output to ds line to external memory transmit adaptation layer processor (talp) transmit utopia interface block (tutopia) memory interface and arbitration controller (miac) receive frame transfer controller (rftc) receive adaptation layer processor (ralp) receive utopia interface block (rutopia) cell service decision (csd) buffer control address/data 2 mbit/s t1/e1 framer aal1gator ii (pm73121) microprocessor strobes utopia interface sram buffers microprocessor address and data 45 mbit/s ds3/e3 framer aal1gator ii (pm73121) microprocessor strobes buffer control address/data utopia interface sram buffers microprocessor address and data
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  new features changes in the aal1gator ii (pm73121) version from the wac-021-c-x version are as fol- lows: ? after power-up, setting the cmd_reg_attn register bit (refer to page 166 ) causes the device revision register to be loaded with code 121a h . ? supports the fast algorithm method for processing sns, as specified in the itu-t recommendation i.363.1. ? this method maintains bit integrity through any single corrupted cell, single misin- serted cell, or up to six missing cells as determined by the value of the sn and snp field. however, bit integrity cannot be maintained if an underrun occurs. ? if structured cells are used, adjustments for missing or errored pointer cells are consid- ered. pointer cells will be predicted to be in the cells that should contain pointers as determined by the itu-t recommendation i.363.1. ? the value of the inserted cells can be ff h , old data, one byte of conditioned data, or pseudorandom data that uses the conditioned data and replaces the msb with a pseu- dorandom pattern x 18 + x 7 + 1. the pseudorandom option is not available for udf-hs mode. the pattern used is selectable on a per-vc basis. ? the maximum number of cells, (max_insert) in the r_sn_config word (up to six) inserted per queue is programmable on a per-queue basis (refer to r_sn_config word format on page 160 ). ? there is a bit, disable_sn in the r_sn_config register (refer to r_sn_config word format on page 160 ), to optionally disable sn processing for a queue. ? a bit has been added to disable the automatic dropping of the first cell received, as would be normally required by itu-t recommendation i.363.1 (refer to nodrop_in_start on page 161 ). ? the utopia interface can operate as an sphy or mphy device, in addition to its current atm mode. ? when a queue underruns, old data, one byte of conditioned data, or pseudorandom data that uses the conditioned data and replaces the msb with a pseudorandom pattern (x 18 + x 7 + 1) will be automatically inserted. the choice is selectable per ds0. pseudorandom options are not available for udf-hs mode. ? added the following seven new counters per queue: ? number of dropped cells ? number of lost cells ? number of pointer reframes ? number of underruns
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  ? number of overruns ? number of pointer parity errors ? number of misinserted cells ? a suppress_transmission bit has been added (refer to section idle_config word format on page 139 ) to temporarily disable transmission while continuing to schedule cells. ? two new control bits have been added to allow queues on an sdf-mf line to be configured in sdf-fr mode. one bit (refer to t_chan_no_sig on page 136 ) is for the transmit direction, and one bit (refer to r_chan_no_sig on page 157 ) is for the receive direction. this is the same as setting up individual queues in sdf-fr mode. ? a new e1 mode has been added to allow a 24-frame multiframe instead of a 16-frame multiframe. in this mode, signaling is updated every 24 frames. when processing cells, the signaling data will appear after n 24 bytes of data, where n is the number of ds0s in the queue. ? the srts code from the field programmable gate array (fpga) has been incorporated into the chip for each line. there is also a clock multiplexer that enables the aal1gator ii to generate the tl_clk based on either the rl_clk, a nominal e1 or t1 clock, or a synthesized clock based on the received srts. ? the r_incorrect_snp counter (refer to r_incorrect_snp word format on page 158 ) has been changed to conform to the circuit emulation service (ces) mib. that is, all cells with an incorrect snp will be counted, regardless of whether or not the sn was corrected. ? the r_sequence_err counter (refer to r_sequence_err word format on page 157 ) has been changed to conform to the ces mib. that is, only transitions from the sync state to the out_of_sequence state are counted, as specified in itu-t recommendation i.363.1. ? both e1 and t1 lines can be supported at the same time. ? the scan string for the current scan order has changed. ? the following four pins have been added: ? phy_enable (refer to page 81 ) ? tphy_addr (refer to page 83 ) ? rphy_addr (refer to page 83 ) ? tlclk_output_en (refer to page 87 ) ? the following software fields have been added or expanded: ? in the comp_lin_reg (refer to section 7.4.2 comp_lin_reg starting on page 125 ), added the following fields:
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  ? mixed_mode_en ? sphy_en ? in the lin_str_mode word (refer to section 7.4.3 lin_str_mode starting on page 126 ), added the following fields: ? tl_mode ? r1_with_t1_sig ? clk_source ? in the queue_config word (refer to queue_config word format starting on page 135 ), added the following field: ? t_chan_no_sig ? in the ch_to_queue word (refer to ch_to_queue word format starting on page 148 ), expanded the following fields: ? rx_cond_h ? rx_cond_l ? in the r_max_buf word (refer to r_max_buf word format on page 157 ), added the following field: ? r_chan_no_sig ? added the following nine registers per queue: ? idle_config (refer to idle_config word format on page 139 ) ? r_sn_config (refer to r_sn_config word format on page 160 ) ? r_dropped_cells (refer to r_dropped_cells word format on page 161 ) ? r_underruns (refer to r_underruns word format on page 162 ) ? r_lost_cells (refer to r_lost_cells word format on page 162 ) ? r_overruns (refer to r_overruns word format on page 162 ) ? r_pointer_reframes (refer to r_pointer_reframes word format on page 162 ) ? r_ptr_par_err (refer to r_ptr_par_err word format on page 162 ) ? r_misinserted (refer to r_misinserted word format on page 163 ) ? the maximum system clock frequency is now 40 mhz.
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  potential system impacts hardware considerations the aal1gator ii device is pin-for-pin compatible with the wac-021-cx. however, the following hardware issues are items to consider. ? the ds3 mode requires a 40 mhz system clock to maintain throughput. ? power consumption for the aal1gator ii is about 300 mw higher than for the wac-021- cx device. software considerations the first two issues are addressed by pmc-sierras aal1gator ii software device control package. ? the math table was modified to support e1 and t1 lines at the same time. this was required since the wac-021-cx has a different math table for e1 lines than for t1 lines. the pm73121 can configure some lines in e1 mode and some lines in t1 mode. as a result, only one math table is required and anyone using e1 sdf-mf mode needs to use the new math table. ? new counters have to been added to support the ces mib. these count values will automatically be written into memory at previously unused locations. ? the pm73121 calculates buffer overflow as data is written into memory. the wac-021- cx calculates buffer overflow only at the start of a cell being written into memory. because of this difference in calculating buffer overflow, it is possible that a queue configured with a certain max_buf size with the wac-021-cx may show an overflow with the pm73121. ? the snp algorithm has been changed to conform with the fast algorithm, as specified in the itu-t recommendation i.363.1. the new algorithm is more tolerant to single errors and lost cells and has a slightly different behavior. typically this is transparent to users, unless they are injecting errors. however, one side effect of the new algorithm is that the first cell received for any queue is always dropped. this is not an issue for most applications since there is always a start-up period before any real data is contained in the cells. however, if an application exists that is sensitive to the loss of the first cell, a new control bit has been added to disable dropping the first cell (refer to nodrop_in_start on page 161 ). this bit defaults to off. ? the incorrect_snp counter (refer to r_incorrect_snp word format on page 158 ) has been changed to conform to the ces management information base (mib). that is, all cells with an incorrect snp field will be counted, regardless of whether or not the sn was corrected. (in the wac-021-cx only non-correctable snps were counted.)
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  ? the r_sequence_err counter (refer to r_sequence_err word format on page 157 ) has been changed to conform to the ces mib. that is, only transitions from sync to out_of_sequence are counted, as specified in itu-t recommendation i.363.1. ? the revision code of the chip has been changed to 121a. when using the aal1gator ii, you will have to make software updates to take advantage of the new features (refer to new features starting on page 4 ). these new features require set- ting control bits or writing fields that are not used in the wac-021-cx. the default for these bits is off. pm73121 required board modifications the pm73121 is pin-for-pin backward compatible with the wac-021-cx. to allow the aal1gator ii to drop into a board developed for the wac-021-cx, the following hooks need to be implemented for you to take advantage of internal clock synthesis or utopia phy mode. to use internal clock synthesis ? provide a means to tristate tl_clk to the pm73121. ? provide pads to terminate tl_clk correctly when sourced by the pm73121. you may need to remove the termination used when the aal1gator ii does not source tl_clk. ? be aware of any skew issues that may arise when the aal1gator ii sources the tl_clk instead of being externally generated, such as an external clock multiplexer. note: with respect to tl_clk, the aal1gator ii timing remains the same whether it sources the clock or not. ? pin 79 is the tlclk_output_en pin. the aal1gator ii can synthesize a nominal clock, loop the rl_clk, or use the srts to generate the tl_clk. to select the clock type, configure the lin_str_mode register (refer to lin_str_mode starting on page 126 ). since there is a period of time between reset and when this register is read, there may not be a tl_clk. to account for this, tie the tlclk_output_en high. this will cause the rl_clk to be looped to the tl_clk pins until the value has been read. then each line tl_clk will switch to the proper value. (each line can be different). if you do not want a clock to drive between reset and when this register is read, tie tlclk_output_en low. in summary, provide a means to pull up or pull down pin 79. it will default to pull down via an internal pull-down resistor.
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  to use utopia phy mode ? provide a means to pull pin 56 (phy_en) high. (it will default to low via an internal pull- down resistor). ? if using mphy mode, pin 18 will be /tphy_addr and pin 14 will be /rphy_addr. these address pins work like an active low chip select but follow the mphy timing. ? in phy mode, all tatm signals will become rphy signals (/tatm_en becomes rphy_clav and /tatm_full becomes /rphy_en). all ratm signals will become tphy signals (/ratm_en becomes tphy_clav and /ratm_empty becomes / tphy_en).
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  1 system applications an essential function for atm switches is to emulate existing time division multiplexing (tdm) circuits. since most voice and data services are currently provided by tdm circuits, seamless interworking between tdm and atm has become a system requirement. the atm forum has standardized an internetworking function that satisfies this requirement in the circuit emulation service (ces) specification. the aal1gator ii is a direct implementation of that ser- vice specification in silicon, including the complex n 64 channelized service and support of cas. 1.1 replacing a tdm digital access cross-connect system (dacs) with an atm system in one public network application, a tdm dacs is replaced with an atm system containing an aal1gator ii. when the backbone trunk of a network is changed from tdm to atm, the tdm dacss will probably be converted from tdm to atm. the existing leased line services pro- vided by the dacss must then be provisioned with the atm dacs. in this manner, tdm, frame relay, and atm circuits can be provided in a single network, as shown in figure 4. figure 4. using the aal1gator ii as part of a tdm dacs replacement fractional t1 service tdm dacs fractional t1 service circuit emulation card aal1gator ii (pm73121) atm dacs tdm backbone atm backbone t1 service digital data service t1 service dds service
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  1.2 replacing a multiplexer level 1 to level 3 (m13) with an atm system in a second public network application, an m13 is replaced with an atm system containing an aal1gator ii. m13s are often used to provide ds1 services. as figure 5 shows, these ds1 cir- cuits must be emulated by an atm dacs or an atm m13 when the backbone is converted to atm. figure 5. using the aal1gator ii as part of an m13 replacement t1 line m13 pdh ds3 line t1 line t1 line atm ds3 line aal1gator ii (pm73121) t1 liu s/uni-pdh (pm7345) atm cell multiplexer (wac-185-x) tquad (pm4344) qdsx (pm4314)
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  1.3 access multiplexer application an access multiplexer must allow voice circuits to be made across a single atm service inter- face. a circuit emulation interface card supports circuit connection to a private branch exchange (pbx). as figure 6 shows, cas signaling is needed to support a wide variety of legacy pbxs. figure 6. using the aal1gator ii in an atm access multiplexer application private branch exchange (pbx) workstation t1 circuit emulation card oc-3 interface atm access multiplexer s/uni-lite pm5346 atm service aal1gator ii (pm73121) sonet
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  1.4 using the aal1gator ii in an enterprise atm switch application circuit emulation has become a requirement of enterprise switches. figure 7 shows a complete solution for atm switches. figure 7. using the aal1gator ii in an enterprise atm switch application atm routing table (wac-187-x) atm routing table (wac-187-x) atm routing table (wac-187-x) o p t i c s s/uni-lite (pm5346) atm cell multiplexer (wac-185-x) aal1gator ii (pm73121) oc-3 ds3 e3 t1 s/uni- ultra (pm5350) lan interface s/uni pdh (pm7345) rcmp-200 (pm7323) atm routing table (wac-187-x) liu atm switch element (wac-188-x) atm switch element (wac-188-x) atm switch element (wac-188-x) atm switch element (wac-188-x) atm switch element (wac-188-x) atm switch element (wac-188-x) qdsx (pm4314) tquad (pm4344) rcmp-200 (pm7323) utp-phy
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  2 system features the aal1gator ii provides ds1, e1, ds3, e3, or other high-speed line interface access to an aal1 cbr atm network. it uses an external sram for temporary storage of data and statistical data. the device provides a microprocessor interface for configuration, management, and statis- tics gathering. atm technology can handle different types of traffic, including voice and video, within the same network structure. voice traffic and some kinds of video traffic are classified as cbr traffic. aal1 is defined for carrying cbr traffic. 2.1 data formats the aal1gator ii supports the data formats described in table 1. these modes are selectable on a per-line basis. in structured mode, each line can support either t1 or e1 interfaces. line 0 can also support a high-speed udf that is compatible with the specified t3 or e3 framer. udfs are more appropriate for services that require no framing structure to transport data across the network. unframed point-to-point e1 and t1 and clear channel t1 and e1 are examples of such services. the aal1gator ii uses simplified algorithms for unstructured data, placing data into the appropriate line buffers without regard to frames. all byte locations are used. the cell service decision (csd) credit assigning algorithm (refer to section 3.2 cell service decision (csd) circuit starting on page 33 ) is also simplified. since there can be only eight queues, the algorithm needs to identify only which line requires service. when udf-hs is used for line 0, only line 0 is monitored for activity. many installed networks, however, look for a framing structure and octet alignment to transport data streams. carriers have large installed bases of fractional t1 and digital data service (dds) leased line services that must still be supported when the backbone is cut over to atm. many pri- vate networks have the same support issue; the backbone is either atm or circuit-switched, but not both. if it is atm, then all existing switched circuits must be migrated onto the atm back- bone through circuit emulation. because of its extensive support of digital signal level 0s table 1. data formats and aal1gator ii modes to support them format corresponding aal1gator ii mode channelization cas signaling number of lines unstructured data format, multiple line udf-ml no no 8 unstructured data format, high-speed udf-hs no no 1 structured data format, multiframe-based sdf-mf yes yes 8 structured data format, frame-based sdf-fr yes no 8
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  (ds0s), which are 64 kbit/s channels, the aal1gator ii is an ideal vehicle for constructing atm-based dacs and other circuit emulation devices. structured data format is suited for these types of networks and underlying services. the sdf-mf mode is primarily used for applications that need to pass cas, which carries the abcd signaling bits. cas is still common in many tdm networks. the sdf-fr mode, which transfers no signaling, is also supported. in sdf-mf mode, individual queues can be configured to transfer no signaling. this is the equiv- alent to sdf-fr mode for a given queue. 2.1.1 structured cell formats figure 8 shows examples of four structured cell formats. in the partially filled cell examples, the partially filled level has been set to 42 payload bytes. figure 8. examples of structured cell formats gfc/vpi vpi vpi vci vci vci pti clp hec csi sn snp pointer payload 1 payload 46 . . . cell with pointer 46 payload bytes gfc/vpi vpi vpi vci vci vci pti clp hec csi sn snp payload 1 payload 2 payload 47 . . . cell without pointer 47 payload bytes gfc/vpi vpi vpi vci vci vci pti clp hec csi sn snp pointer payload 1 payload 42 . . . partially filled cell with pointer 42 payload bytes gfc/vpi vpi vpi vci vci vci pti clp hec csi sn snp payload 1 payload 2 partially filled cell without pointer 42 payload bytes payload 42 . . .
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  2.1.2 unstructured cell formats figure 9 shows two unstructured cell formats. in the partially filled cell, the partially filled level has been set to 42 payload bytes. 2.2 circuit interface features ? provides a convenient interface to all of the following line circuit types: ? up to eight t1 framers (pmc-sierra part number pm4341a t1xc or base-2? part number uga-360). ? up to eight e1 framers (pmc part number pm6341 e1xc or base-2 part number uga-510). ? up to two t1 pmc quad framers (pmc part number pm4344 tquad). ? up to two e1 pmc quad framers (pmc part number pm6344 equad). ? one e3 framer (eac-030-x)-compatible device (clear channel). ? supports the following vcs: ? up to 256 vcs for channelized t1/e1 (up to 32 vcs per line for e1, up to 24 vcs per line for t1). ? up to eight vcs for multiple-line unstructured data format (udf-ml mode). ? one vc for ds3. ? one vc for e3. ? compatible with the atm forum circuit emulation service (ces) standard (refer to appendix b, references, on page 203 ). figure 9. examples of unstructured cell formats gfc/vpi vpi vpi vci vci vci pti clp hec csi sn snp payload 1 payload 2 payload 47 . . . cell without pointer gfc/vpi vpi vpi vci vci vci pti clp hec csi sn snp payload 1 payload 2 partial cell without pointer payload 42 . . .
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  ? allows the outgoing virtual path identifier (vpi)/virtual channel identifier (vci) to be set to any value for each vc. ? maps a selectable 8-bit field of the vci into 256 possible receive queues. ? provides bit count integrity by replacing lost aal service data units (sdus). ? allows any combination of timeslots within one t1/e1 line to be mapped to a vci. ? supports udf at arbitrary bit rates up to 20 mbit/s aggregate throughput. ? provides a per-line ccs/cas configuration option. ? individual lines can be configured as e1 or t1. ? e1 lines can be configured to use t1 signaling rates. ? provides transmit data and signaling conditioning per vc. ? provides receive signaling freezing on underrun, overrun, and pointer mismatch and errored cells. ? provides receive data and signaling conditioning per vc. ? provides srts bit generation and collection for an internal clock synthesizer to drive external receive plls for unstructured data formats. ? provides a 16-bit microprocessor interface to a 128k 16 sram external to the device. ? provides statistics and interrupts for the microprocessor. 2.3 transmit interface features the aal1gator ii accepts deframed data as a serial bit stream from multiple external deframer devices. the aal1gator ii then stores the data in an external sram, and creates aal1 atm cells from the data. the aal1gator ii allows configurations of up to 256 vcs (32 per line) that can transmit from 1 to 32 ds0s (64 kbit/s channels) within any one t1 or e1 line with arbitrary sequential mapping (including alternating timeslots). the vc queues are serviced with a calendar scheduling mechanism. the transmit side transmit queue controller also supports the transmission of cells generated by the microprocessor. in addition, a variety of statistics are maintained in 16-bit counters. other transmit interface features include: ? provides per-vc transmit queuing. ? provides a calendar queue service algorithm that produces minimal cdv. ? provides a 33 mhz atm or phy layer utopia interface. the phy side can be either sphy or mphy. ? provides a supervisory transmit buffer for oam/signaling with cyclic redundancy check-10 (crc-10) generation. ? generates sequence numbers and sequence number protection bits. ? provides partially filled cells with lengths configured on a per-vc basis.
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  ? supports synchronous residual time stamp (srts) for unstructured data formats. the transmit interface generates the 4-bit srts code that reflects the difference between the network clock and the transmitting service clock. if enabled, this srts code is inserted into the convergence sublayer indication (csi) bit of cells with odd sequence numbers. ? provides data and signaling conditioning on a per-vc basis. ? provides a 2-cell utopia first-in, first-out (fifo). ? transmit delay is defined by the following three components: the time to schedule a cell, the time to build a cell, and the time to transmit a cell. the time to schedule a cell is from 0 to 125 s for structured data and from 0 to 256 bit times for unstructured data. the time (in seconds) to build a cell with a full payload is approximately 376 divided by the cbr (in bps). the time to send a cell depends on the number of cells queued for transmission, the amount of oam traffic being inserted by the microprocessor, and the speed at which cells are accepted by the device connected to the utopia transmitter. once the payload is available, each cell takes about 8.5 m s to prepare. ? provides optionally generated transmit line clock based on received srts values, looped rl_clk, or synthesized nominal clock. 2.4 receive interface features when cells arrive, the aal1gator ii places them in a multiframe buffer based on the channel allo- cation. the cells are then transmitted to the line interface at the proper time. other receive inter- face features include: ? provides a 33 mhz atm or phy layer utopia interface. the phy side can be either sphy or mphy. ? provides per-vc queues. ? provides a 0 to 64 ms receive buffer for e1 (0 to 48 ms if using t1 signaling), a 0 to 48 ms receive buffer for t1, a 0 to 4 ms receive buffer for e3, and a 0 to 2.9 ms receive buffer for ds3. ? provides a 0 to 32 ms per-vc cell delay variation tolerance (cdvt) setting for e1 (0 to 24 ms if using t1 signaling); provides a 0 to 24 ms per-vc cdvt setting for t1 in increments of 125 m s. ? provides a 0 to 2 ms cdvt setting for e3 and a 0 to 1.5 ms cdvt setting for ds3. ? provides overrun and underrun protection. ? provides pointer misalignment protection. ? receives, verifies, and corrects sequence numbers in accordance with itu-t recommendation i.363.1. (refer to appendix b, references, on page 203 ). ? processes sequence numbers in accordance with i.363.1 fast sn algorithm (refer to appendix b, references, on page 203 ). sequence number processing can optionally be disabled on a per queue basis.
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  ? provides a 256-cell oam receive queue. ? provides processor interrupt for oam cell reception. ? provides a 0 to 31 sample srts cdvt setting. ? supports srts by collecting and queueing srts values, calculating a local srts value derived from the local transmit clock and the local network reference clock, and driving the difference between the incoming value and the local value out of a multiplexed interface. this difference can then be used to drive eight external digital or analog plls. application support is available for an 8-line srts/adaptive digital frequency synthesizer, which can be added externally. clock synthesis logic, internal to the chip, can optionally be configured to synthesize the tl_clk based on the received srts values. ? in all modes except udf-hs, supports adaptive clocking by calculating the receive frame difference and providing it to the external adaptive digital frequency synthesizer. ? provides a 2-cell utopia fifo. ? defines receive delay primarily by the following two components: buffering to handle cdv and the internal cell processing time. the internal cell processing time is less than 20 m s. 2.5 statistics the aal1gator ii gathers statistical information on all transmitted and received cells. these sta- tistics are maintained in 16-bit counters (which are implemented as rollover counters and are never cleared) in the external memory. table 2 lists the provided statistics counters. table 2. statistics counters counter name number of counters counter description r_oam_cell_cnt 1 count of oam cells received. r_dropped_oam_cell_cnt 1 count of oam cells received and dropped due to lack of space in the receive oam fifo. r_incorrect_snp 256 count of number of occurrences when the sn crc and/or parity was not correct. both correctable and noncorrectable errors are reported. r_sequence_err 256 count of sn errors. counts transitions from sync to out_of_ sequence. r_cell_cnt 256 count of billable data cells received from the utopia interface. r_dropped_cells 256 count of cells that were received but dropped. r_underruns 256 count of the number of underruns on this queue to account for lost cells. r_lost_cells 256 count of cells detected lost for this queue. r_overruns 256 count of overruns on this queue.
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  sticky bits for the following events are also maintained on a per-queue basis in memory (refer to r_error_stky word format on page 158 ): ? a cell was received while the queue was in underrun. ? receive overrun: a cell was received, causing the maximum buffer depth to be exceeded. this event causes a forced underrun condition. ? a resume function has occurred: a cell was received and placed in the receive buffer causing an end to the underrun condition. ? a cell was dropped in accordance with recommendation i.363.1 fast sn algorithm. this event causes a forced underrun condition. ? a cell was dropped because of a forced underrun. ? a cell was dropped because of a blank allocation table or disabled receiver. ? a cell was received. ? a cell was dropped because a valid pointer was not found while the queue was in the underrun condition. ? a valid pointer was received. ? an errored pointer was received (parity check failed). ? an srts resume occurred. ? a cell was received while the srts queue was in underrun. ? a cell was dropped because a pointer mismatch occurred causing a forced underrun condition. the aal1gator ii sets the status bits every time it detects one of the events listed previously. the microprocessor clears the status bits after it recognizes the event. because these bits will be polled at a low rate, relative to their occurrence, it is not possible to determine the exact number of r_pointer_reframes 256 count of pointer reframes. r_ptr_par_err 256 count of pointer parity errors. r_misinserted 256 count of misinserted cells. t_cell_cnt 256 count of billable data cells transmitted to the utopia interface. t_cond_cell_cnt 256 counts data conditioned cells transmitted to the utopia interface. t_suppressed_cell_cnt 256 counts cells not sent because of a line resynchronization, or, if the device was in udf-hs mode, because tx_active is set. also if suppress_transmission is set, any cells not sent will increment this counter. table 2. statistics counters (continued) counter name number of counters counter description
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  occurrences of each type of event. if a count is required, the counters should be used instead. the status bits for the receive error conditions on each queue are maintained in a single-data word. when a set bit is detected, this single-data word should be cleared immediately. note: as new events can occur between the read of the status bits and the write to clear the status bits, the status bits should be used for statistics gathering only. 2.6 interrupts the aal1gator ii asserts the interrupt line whenever an oam cell arrives and the interrupt mask is deasserted. the interrupt can be cleared by asserting oam_int_mask or by asserting clr_ rx_oam_latch. refer to section 7.9 cmdreg (command register) on page 165 . 2.7 srts and transmit line interface clock configurations the aal1gator ii provides the following transmit line interface clock configurations on a per-line basis: ? drives tl_clk(i) with the looped-back rl_clk(i). ? drives tl_clk(i) with the srts-derived clock. ? drives tl_clk(i) with a nominal t1/e1 clock of 1.544 mhz or 2.048 mhz. ? accepts tl_clk(i) as an input. note: the aal1gator ii uses bellcores patented srts clock recovery technique. refer to the note on page 172 for additional information regarding bellcores srts patent. the aal1gator ii also provides the following external tl_clk generation options through the multiplexed srts output port. these options can be used when the aal1gator ii accepts tl_ clk(i) as an input: ? the aal1gator ii drives srts information out of the srts port. an external circuit can use this information to synthesize an srts-based tl_clk. (provided for backward compatibility with the wac-021-c-x.) ? the aal1gator ii drives adaptive clocking information out of the srts port. an external circuit can use this information to synthesize an adaptive (based on receive-buffer-centering) tl_clk. 2.8 peak cell rates (pcrs) for purposes of discussion, the following pcr information is assumed: ? full cells are used, ? the pcr numbers are per line, and ? the sys_clk is 38.88 mhz. 2.8.1 peak cell rates (pcrs) for structured cell formats ? pcr 176 n cells per second where 1 n 32 (assuming completely filled cells).
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  ? each aal1 cell is either 46 or 47 bytes, depending upon whether or not the cell contains a structure pointer. 2.8.2 peak cell rates (pcrs) for unstructured cell formats ? pcr 4,107 cells per second for t1 (assuming 47 bytes for each aal1 cell). ? pcr 5,447 cells per second for e1 (assuming 47 bytes for each aal1 cell). ? pcr 118,980 cells per second for t3 (assuming 47 bytes for each aal1 cell). ? pcr 91,405 cells per second for e3 (assuming 47 bytes for each aal1 cell). ? if all lines are at the same rate, the aggregate pcr 53,191 cells per second for multiple-line unstructured data format (assuming 47 bytes for each aal1 cell). if all lines are not at the same rate, the aggregate pcr 46,542. ? pcr 1,000 cells per second for oam cells. this rate of oam cells is calculated on the basis of up to four cells per second per vc. transmitting and receiving oam cells at this rate consumes 20% of the microprocessor accesses. 2.8.3 peak cell rates and partial cells partial cells can be used to minimize the amount of delay required to assemble a cell. however, the amount of overhead required for the same amount of tdm data increases when partial cells are used. this overhead increases as the number of data bytes per cell decreases. the following equations can be used to determine the pcr when partial cells are used. first, the maximum average cell generation time (macgt) is calculated. the macgt value is equal to the maximum average number of cycles to generate a cell, times the length of the clock cycle. second, the maximum average cell generation time is multiplied by the number of cells required per second to give the total cell generation time (tcgt). the following equations are given for different modes of operation. each equation is calculated on a per-queue basis. if all the queues are configured the same, then the total for a queue can be multiplied by the number of queues. otherwise, the sum of the calculations for each queue needs to be totaled. the sum must be less than one. the following variables are used: k = # of data bytes per cell n = number of timeslots assigned to queue l = length of clock cycle note: if oam cells are used, add the following equation for the oam cells: tcgt oam = 212 l (maximum number of oam cells per second)
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  2.8.3.1 sdf-mf mode macgt sdfmf = [208 + (8 k)] l 2.8.3.1.1 for e1 mode tcgt sdfmfe1 = macgt sdfmf (8000 n 33 ? 32) ? k if all queues are e1 sdf-mf and the same size, all timeslots are used, and sys_clk= 38.88 mhz, then the minimum number of bytes per cell is 20. 2.8.3.1.2 for t1 mode tcgt sdfmft1 = macgt sdfmf (8000 n 49 ? 48) ? k if all queues are t1 sdf_mf the same size, all timeslots are used, and sys_clk= 38.88 mhz, then the minimum number of bytes per cell is 13. 2.8.3.2 sdf-fr mode macgt sdffr = [202 + (8 k)] l tcgt sdffr = macgt sdffr (8000 n) ? k for e1 mode, if all queues are the same size, all timeslots are used, and sys_clk= 38.88 mhz, then the minimum number of bytes per cell is 19. for t1 mode, if all queues are the same size and all timeslots are used and sys_clk= 38.88 mhz, then the minimum number of bytes per cell is 12. 2.8.3.3 udf-ml mode macgt udfml = [202 + (4 k)] l tcgt udfml = macgt udfml (8000 32) ? k if all queues are unstructured and all lines are used and sys_clk= 38.88 mhz, then the mini- mum number of bytes per cell is 14. the sum of tcgt sdfmfe1 + tcgt sdfmft1 + tcgt sdffr + tcgt udfml for all queues + tcgt oam must be less than 1 second.
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  3 theory of operations the aal1gator ii is divided into the following major blocks, all of which are explained in this section: ? transmit frame transfer controller (tftc) block ? cell service decision (csd) block ? transmit adaptation layer processor (talp) block ? transmit utopia interface (tutopia) block ? memory interface and arbitration controller (miac) block ? receive frame transfer controller (rftc) block ? receive adaptation layer processor (ralp) block ? receive utopia interface (rutopia) block figure 10 shows a block diagram of the aal1gator ii and the sequence of events used to segment and reassemble the cbr data. 1. tftc stores line data into the memory, 16 bits at a time. 2. when the tftc finishes writing a complete frame into the memory, it notifies the csd of a figure 10. aal1gator ii block diagram transmit frame transfer controller (tftc) transmit adaptation layer processor (talp) transmit utopia interface block (tutopia) memory interface and arbitration controller (miac) receive frame transfer controller (rftc) receive adaptation layer processor (ralp) receive utopia interface block (rutopia) input from line output to utopia input from utopia output to line microprocessor control bus to external memory cell service decision (csd) 1 2 3 4 5 6 9 8 7 10 aal1gator ii (pm73121)
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  frame completion by writing the line and frame number into a fifo. 3. the csd checks a frame-based table for queues having sufficient data to generate a cell. for each queue with enough data to generate a cell, the csd schedules the next cell generation occurrence in the table. 4. the csd commands the talp to generate a cell from the available data for each of the ready queues identified in step 3. 5. the talp generates the cell from the data and signaling buffers and writes the cell into the utopia fifo. 6. the tutopia interface transmits the cells out of the utopia port. 7. the rutopia interface receives the cell from the utopia port. 8. the ralp performs pointer searches, checks for overrun and underrun conditions, detects sn mismatches, checks for oam cells, and extracts the line data from the cells, and places the data into the receive buffer. 9. the rftc plays the receiver buffer data onto the lines. 10. the miac provides arbitration for all memory transaction requests by the various processes. 3.1 transmit frame transfer controller (tftc) the tftc accepts deframed data from the external t1 or e1 framer device. for structured data, the tftc uses the synchronization signals (rl_fsync and rl_msync) supplied by the framer to perform a serial-to-parallel conversion on the incoming data and then places this data into a multiframe buffer in the order in which it arrives. a rising edge on rl_fsync indicates the beginning of a frame, and a rising edge on rl_ msync indicates the beginning of a multiframe. the tftc will realign when an edge is seen on these signals. it is not necessary to provide an edge at the beginning of every frame or multiframe. the aal1gator ii reads signaling during the last frame of every multiframe. for t1 mode, the aal1gator ii reads signaling on the 24th frame of the multiframe. for e1 mode, the aal1gator ii reads signaling on the 16th frame of the multiframe. a special case of e1 mode exists that permits the use of t1 signaling with e1 framing. normally an e1 multiframe consists of 16 frames of 32 timeslots, where signaling changes on multiframe boundaries. when e1_with_t1_sig is set in lin_str_mode and the line is in e1 mode, the tftc will use a multiframe consisting of 24 frames in 32 timeslots. in this mode, the aal1gator ii reads signaling on the 24th frame of the multiframe. the aal1gator ii reads the signaling nibble for each channel when it reads the last nibble of each channels data. see figure 11 on page 26 for an example of a t1 frame. see figure 12 on page 26 for an example of an e1 frame.
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  note: the aal1gator ii treats all 32 timeslots identically. although e1 data streams con- tain 30 timeslots of channel data and 2 timeslots of control (timeslots 0 and 16), data and signaling for all 32 timeslots are stored in memory and can be sent and received in cells. figure 11. capture of t1 signaling bits figure 12. capture of e1 signaling bits channel 0 abcd xxxx channel 1 abcd xxxx channel 2 abcd channel 21 abcd xxxx abcd channel 22 channel 23 abcd ... ... ... line signals during the last frame of a multiframe 0 1 2 21 22 23 xxxx - indicates signaling is ignored xxxx xxxx xxxx rl_ser (timeslots ) rl_sig channel 0 abcd xxxx channel 1 abcd xxxx channel 2 abcd channel 29 abcd xxxx abcd channel 30 channel 31 abcd ... ... ... 0 1 2 29 30 31 xxxx - indicates signaling is ignored xxxx xxxx xxxx rl_ser (timeslots ) rl_sig line signals during the last frame of a multiframe
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  unstructured data is received without regard to the byte alignment of data within a frame and is placed in the frame buffer in the order in which it arrives. figure 13 shows the basic components of the tftc. the receive line interface is primarily a serial-to-parallel converter. serial data, which is derived from the rl_ser pin, is supplied to a shift register. the shift register clock is the rl_clk input from the external framer. when the data has been properly shifted in, it is transferred to a 2-byte holding register by an internally derived channel clock. this clock is derived from the line clock and the framing information. the channel clock also informs the line-to-memory interface that two data bytes are available from the line. when the two bytes are available, a line attention signal is sent to the line encoder block. however, because the channel clock is an asynchronous input to the line-to-memory inter- face, it is passed through a synchronizer before it is supplied to the line encoder. since there are eight potential lines and each of them provides its own channel clock, they are synchronized before being submitted to the line encoder. the tftc accommodates the t1 super frame (sf) mode by treating it like the extended super frame (esf) format. the tftc ignores every other frame pulse and captures signaling data only on the last frame of odd sf multiframes. the formatting of data in the signaling buffers is highly dependent on the operating mode. refer to section 7.6.6 reserved (transmit signaling buffer) on page 132 for more information on the transmit signaling buffer. figure 13. transmit frame transfer controller external framer external framer receive line interface receive line interface line encoder line-to-memory interface line number channel pair number data 16 16 3 4 16 line 0 line 0 line 7 line 7 ? ? ? ? ? ? attn0 attn7 data0 data7 any
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  figure 14 shows the format of the transmit data buffer for esf-formatted t1 data for lines that are in the sdf-mf mode. figure 15 shows the format of the transmit data buffer for sf-formatted t1 data for lines that are in the sdf-mf mode. figure 14. t1 esf sdf-mf format of the t_data_buffer figure 15. t1 sf sdf-mf format of the t_data_buffer mf0 mf1 0 31 23 0 23 ds0s 32 55 64 87 96 119 127 mf2 mf3 frame buffer number 0 31 23 0 23 ds0s 32 55 64 87 96 119 frame buffer number mf6 mf7 mf4 mf5 mf2 mf3 mf0 mf1 107 108 75 76 43 44 11 12
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  figure 16 shows the format of the transmit data buffer for t1 data for lines that are in the sdf-fr mode. figure 16. t1 sdf-fr format of the t_data_buffer 0 31 0 frame buffer number 127 frame 0 ds0s frame 1 ? ? ? frame 23 frame 24 frame 25 frame 47 frame 48 frame 49 frame 71 frame 72 frame 73 frame 95 ? ? ? ? ? ? ? ? ? 32 64 96
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  figure 17 shows the format of the transmit data buffer for e1 data for lines that are in the sdf-mf mode. figure 18 shows the format of the transmit data buffer for e1 data using t1 signaling, for lines that are in sdf-mf mode. figure 17. e1 sdf-mf format of the t_data_buffer figure 18. e1 sdf-mf with t1 signaling format of t_data_buffer 0 31 0 ds0s 127 frame buffer number mf0 mf1 15 16 mf2 mf3 31 32 mf4 mf5 80 mf6 mf7 112 48 64 96 mf0 mf1 0 31 0 23 ds0s 32 55 64 87 96 119 127 mf2 mf3 frame buffer number
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  figure 19 shows the format of the transmit data buffer for e1 data for lines that are in the sdf-fr mode. figure 20 shows the format of the transmit data buffer for lines that are in udf-ml mode. figure 19. e1 sdf-fr format of the t_data_buffer figure 20. unstructured format of the t_data_buffer 0 31 0 ds0s 127 frame buffer number frame 127 frame 0 frame 1 frame 2 ? ? ? 0 255 0 data bits 127 frame buffer number 256-bit internal frame 0 ? ? ? 256-bit internal frame 1 256-bit internal frame 127
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  and figure 21 shows the contents of the transmit signaling buffer for the different signaling modes. 3.1.1 transmit conditioning the t_cond_data structure allows conditional data to be defined on a per-ds0 basis. the structure t_cond_sig allows conditioned signaling to be defined on a per-ds0 basis. the tx_ cond bit in the t_queue_tbl allows the cell building logic (described in section 3.3 trans- mit adaptation layer processor (talp) on page 38 ) to be directed to build cells from the condi- tioned data and signaling. the tx_cond bit can be set on a per-queue basis. 3.1.2 transmit signaling freezing signaling freezing is a required function when transporting cas. this function holds the signal- ing unchanged when the incoming line fails. the pmc-sierra framers provide this function. if a framer is used that does not support signaling freezing, this function must be provided externally. 3.1.3 srts for the transmit side note: the aal1gator ii uses bellcores patented srts clock recovery technique. refer to the note on page 172 for additional information regarding bellcores srts patent. figure 21. sdf-mf format of the t_signaling_buffer (t1 and e1 modes) word 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 15 0 bit ch1 3 5 7 9 11 13 15 17 19 21 ch0 2 4 6 8 10 12 14 16 18 20 22 note: the upper nibble of each byte is 0. t1 format uses word addresses 0 to 11. ch30 25 24 26 27 28 29 ch31 23
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  the transmit side supports srts only for unstructured data formats on a per-line basis. srts support requires an input reference clock, n_clk. the input reference frequency is defined as 155.52/2^ n mhz, where n is chosen such that the reference clock frequency is greater than the frequency being transmitted, but less than twice the frequency being transmitted (2 rl_clk > n_clk > rl_clk). for t1 or e1 implementation, the input reference clock frequency must be 2.43 mhz. the transmit side can accept a reference clock speed of up to 78 mhz, which is required for t3 applications. figure 22 on page 33 shows the process implemented for each udf line enabled for srts, regardless of the reference frequency. the resulting 4-bit srts code is then inserted into the csi bit of the odd numbered cells for that line. if the line does not supply srts, then all odd csi bits are set to 0. the 3008 divider is the number of data bits in eight cells (8 8 47). the divider is aligned on the first cell generation after a reset or a resynchronization to the cell generation process. 3.2 cell service decision (csd) circuit the csd circuit determines which cells are to be sent and when. it determines this by implement- ing transmit calendar bit tables. when the talp builds a cell, the csd circuit performs a com- plex calculation using credits to determine the frame in which the next cell from that queue should be sent. the csd circuit schedules a cell only when a cell is built by the talp. if suppress_ transmission bit in the idle_config word is set, then the cell is scheduled, however, the cell is not transmitted. the following steps (as well as figure 23 on page 35 ) describe how the csd circuit schedules cells for the talp to build. 1. once the tftc writes a complete frame into external memory, it writes the line number and frame number of this frame into the fr_advance_fifo. the csd circuit reads the line-frame number pair from the fr_advance_fifo and uses it as an index into the trans- mit calendar. the transmit calendar is composed of eight-bit tables, one per line. each bit table consists of 128 entries, one per frame buffer. each entry consists of 32 bits, one per queue. for each bit set in the indexed entry in the transmit calendar, the csd will schedule the frame in which the next cell can be built for the corresponding queue, and notify the talp figure 22. transmit side srts support divide by 3008 4-bit latch 4-bit counter 4-bit srts code latch 4 bits server clock frequency rl_clk input reference clock frequency n_clk (for t1/e1, 2.43 mhz. for t3, 77.76 mhz.) 4 bits cell generation reset resync arm
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  that enough data is available to build a cell for that queue. 2. the csd circuit processes all queues from the transmit calendar entry starting with the low- est queue number and proceeding to the highest. the processing steps are as follows: a. the csd circuit obtains the que_credits, and subtracts the average number of credits per cell from it. the average number of credits, avg_sub_valu, is the number of credits that will be spent sending the current cell. for structured lines, the average number of credits per cell is 46-7/8. for unstructured lines, the average number of credits per cell is 47. b. next, the csd circuit computes the frame location for the next service by subtracting the remaining credits from 47. it divides the result by the number of channels, num_chan, dedicated to that queue. the result is a frame differential. c. the csd then adds this frame differential to the present frame location to determine the frame number of the next frame in which the talp can build a cell. the csd circuit then sets a bit in the corresponding entry in the transmit calendar and writes to the queue_ credits. d. the csd circuit then adds the new credits back to the credit total for the frame increment number. the number of new credits is equal to the frame differential computed earlier, multiplied by the number of channels for that queue. once a queue is identified as requir- ing service, its identity is written to the next_serv location. e. the csd circuit obtains the next queue for that frame and repeats steps a. through d. the csd circuit continues this process until there are no more active queues for that frame. 3. after servicing all the queues for that frame, the csd circuit advances to the next active line located in the line queue. if there are no active lines, the csd circuit returns to the idle state to wait for the next line to request service.
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  figure 23 shows how the csd assigns credits to determine in which frames cells should be sent. the following is an example of the calculations the csd circuit performs. this example assumes a structured line with four channels allocated to one queue. 1. the tftc writes line 3 and frame 4 to the fr_advance_fifo. 2. the csd circuit determines the queue for which a cell is ready by finding a set bit in the transmit calendar. in this example, it is queue number 100. 3. the csd circuit reads the number of credits for queue number 100. the number of credits is always greater than 47 because it is ready for service. in this example, que_credits = 59.375. 4. the csd circuit subtracts avg_sub_valu, the average number of credits spent per cell. (remember: for structured lines, the average number of credits per cell is 46-7/8. for unstruc- tured lines, the average number of credits per cell is 47.) credits = 59.375 - 46.875 credits = 12.5 5. the frame differential for the next service is computed from the number of credits needed to exceed 47 and num_chan, the number of channels allocated per frame. 47 - 12.5 = 34.5 34.5 ? 4 = 8.625 round 8.625 up, so the frame differential is 9. 6. therefore, the next cell will be sent nine frames ahead of the current cell. next frame = present frame number + 9 figure 23. frame advance fifo operation fr_advance_fifo csd frame boundaries rl_ser(0) rl_fsync(0) tftc the tftc sees frame advance and records this in the fr_advance_fifo rl_ser(1) rl_fsync(1) the csd reads frame advances and determines cells to be sent set next_ serv
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  7. the csd circuit computes the number of credits for those nine frames and adds the result to the total. new credits = 9 4 = 36 que_credits = 36 + 12.5 = 48.5 if the queue is on a line in sdf-mf mode, the csd makes a signaling adjustment to the que_credits before writing this value to memory. (if the queue is not in sdf-mf mode, the signaling adjustment is not made and the que_credits calculated in step 7 is written to memory.) the calculation determines the number of signaling bytes in the structure, then generates an average number of signaling bytes inserted into cells per frame, and finally multiplies this average number by the frame differential to adjust the que_credits. 8. the csd converts the frame differential from units of frames to units of one-eighth of multi- frames. in performing this calculation, the csd also uses the frame_remainder value from the que_credits location in the t_queue_tbl. this example assumes that frame_ remainder = 1 from the previous calculation on this queue. e1: frame differential (in eighths of a multiframe) = (frame differential + frame_ remainder) ? 2 t1: frame differential (in eighths of a multiframe) = (frame differential + frame_ remainder) ? 3 frame differential = (9 + 1) ? 3 = 10, or three-eighths of a multiframe, remainder 1. the csd writes the remainder of this division into the frame_remainder location for use in the next calculation on this queue. 9. the csd calculates the signaling credit adjustment by multiplying the frame differential expressed in eighths of a multiframe by the number of signaling bytes in a structure. number of signaling bytes in the structure = 4 channels 0.5 bytes per channel = 2 bytes per multiframe signaling adjustment = three eighths 2 = 0.75 bytes 10. then the csd adds the signaling credit adjustment to the total and writes the result to mem- ory, in preparation for the next service on this queue. queue_credits = 48.5 + 0.75 = 49.25 bytes unstructured lines use the same procedure. in the case of unstructured lines, the number of chan- nels allocated to the queue is 32. because there is never a pointer, the average number of credits spent per cell is always 47.
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  3.2.1 transmit cdv the following items affect transmit cdv: ? cell scheduling, ? contention with other cells scheduled at same time, ? actual cell build time, and ? utopia contention. 1. the scheduler has a resolution of 125 s. in other words, it works off a frame-based clock to determine whether or not a cell should be sent during the current frame. therefore, if the ideal rate of cell transmission is not a multiple of 125 s, there will be 125 s of cdv. the sched- uler will never add more than 125 s of cdv. for example, a single ds0 queue with no signaling and using full cells, will need to build a cell every 47 frames. therefore, a cell will be scheduled every 47 frames, and the scheduler will add no cdv. however, if signaling were added to the single ds0 queue, the extra byte that occurs every 24 bytes (assuming t1 mode) requires compensation. in this case, a cell will be sent every 46 or 47 frames. therefore, there will be 125 s of cdv due to the scheduler. 2. only one cell can be built at a time. thus if multiple queues are scheduled to send cells during the same frame, additional cdv will be incurred. since it takes approximately 8 s to build a cell, each cell that is waited for adds 8 s of delay. when multiple queues are scheduled to send cells at the same time, the cells will be built in sequential order, starting with 0 and going to 256. therefore, in a system that will be adding and dropping queues, the higher number queues will experience more cdv than the lower number queues, depending on how many queues are active at the time, and are scheduled within the same frame. theoretically, it is possible to have all 256 queues scheduled at the same time, however, this will not occur if adequate steps are taken. minimize cdv due to clumping by the following actions: add queues one at a time (pmc-sierras driver does this automatically). when queues are added at the same time with the same configuration, they are clumped together. by adding queues one at a time, clumping can be avoided and cell scheduling points can be evenly dis- tributed. also, queues are only added in frame 0. since the transmit buffer is 128 frames (for e1) or 96 frames (for t1), this equates to 16 ms (for e1) or 12 ms (for t1). queues should be added more than 16 ms apart (for e1) or 12 ms (for t1) to prevent them from being clumped together. avoid configurations that will require sending a cell every n frames where n is an integer divi-
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  sor of 128 (for e1) or 96 (for t1). for these configurations the queues will always be sched- uled in the same frame. therefore, even if these queues are added at different times they will still be scheduled at the same time. staggering clocks of the different lines can also help. since cells are scheduled when a frame completes, staggering lines can offset the frame completion point for the different lines with respect to each other. 3. the actual build time of a cell depends on microprocessor activity and contention with other internal state machines for the aal1gator ii memory bus. therefore there will be some minor cdv that is added on a per cell basis, based on current microprocessor/memory traffic. this cdv is usually less than 4 s and is not very noticeable. 4. if there is backpressure on the utopia bus, cells will not be able to be sent which also causes cdv. 3.3 transmit adaptation layer processor (talp) 3.3.1 oam cell generation when an oam cell transmission is requested, it is sent at the first available opportunity. transmit oam cells have higher priority than cells scheduled by the csd circuit. because of this, care should be taken to ensure that oam cells do not overwhelm the transmitter to such an extent that data cells are starved of adequate opportunities. the rate of oam cells must be limited for the aal1gator ii to maintain its maximum csd data rate. to send an oam cell, the supervisory processor (sp) writes supervisory cells into one of two dedicated cell buffers located in external memory. when the cell is assembled in the buffer, the sp must set the appropriate bit in the command register (refer to section 7.9 cmdreg (com- mand register) on page 165 ). the talp sends the cell as soon as possible, then clears the appropriate attention bit to indicate the requested cell has been sent. if requests for both oam cells are active at the time the command register is read by the aal1gator ii, oam cell 0 will always be sent because it is assigned a higher priority. therefore, to control the order of oam cell transmission, the sp should set only one oam attention bit at a time and wait until it is cleared before setting the other attention bit. oam cells can optionally have the 48-byte oam payload crc-10 protected. this is accom- plished by a crc circuit that monitors the oam cell as it is sent to the tutopia and computes the crc on the fly. it then substitutes the 10-bit resultant crc, preceded by six 0s, for the last two bytes of the cell.
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  3.3.2 data cell generation if the talp receives a request to send a csd-scheduled data cell and there are no oam cell requests pending, it will do so as soon as it is free. it will look up the predefined atm header from the t_queue_tbl (refer to section 7.6.8 t_queue_tbl on page 134 ). it will then obtain a sequence number for that queue from memory, and a structure pointer if necessary. after these bytes are written to the tutopia interface, the talp will then go to the data and the sig- naling frame buffers, locate the data bytes for the correct channels, and write them in the correct order to the utopia interface. this cell building process is described in more detail in the fol- lowing section. 3.3.2.1 header construction the entire header is fixed per queue. headers are maintained in the memory, one per queue. these headers include a header error check (hec) character for the fifth byte. the queue should be deactivated during header replacement to prevent cells from being constructed with incorrect header values. a queue can be paused by setting the suppress_transmission bit in idle_ config register. emissions are still scheduled, just the transmissions are suppressed. for any cells that are suppressed, the t_suppressed_cell_cnt is incremented. 3.3.2.2 payload construction payload construction is the most complex task the talp circuit performs. the signaling require- ments define much of the process, which is as follows: 1. the first byte of the payload is provided by a lookup into the t_queue_tbl. this first byte consists of the csi bit, a 3-bit sequence number, and a 4-bit sequence number protection field. the csi bit is set depending on srts and pointer requirements. the sequence number is incremented every time a new cell is sent for the same vpi/vci. 2. if the line is in one of the two structured modes, a structure pointer is needed in one of the even-numbered cells. the talp inserts structure pointers according to the following rules: ? only one pointer is inserted in each 8-cell sequence. ? a pointer is inserted in the first possible even-numbered cell of every 8-cell sequence. ? a pointer value of 0 is inserted when the structure starts in the byte directly after the pointer itself. ? a pointer value of 93 is inserted when the end of the structure coincides with the end of the 93-octet block of aal-user information. ? a dummy pointer value of 127 is inserted in cell number six if no start-of-structure or end-of-structure occurs within the 8-cell sequence. 3. this algorithm supplies a constant number of structure pointers and, therefore, data bytes, regardless of the structure size. the pointer is inserted in the seventh byte location of the cell. to force the talp to build a structure consisting of a single ds0 with no signaling nibble and
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  no pointer, set t_chan_unstruct = 1 in the queue_config word of the t_queue_ tbl. 4. the talp fills the rest of the cell payload with data and/or signaling information. the t_ chan_alloc table (refer to section 7.6.8 t_queue_tbl on page 134 ) determines which channels are dedicated to which queue. if a bit is set, the channel represented by that bit is assigned to that queue. the talp successively writes the data from the marked channels into the utopia interface. a queue-based parameter, bytes_per_cell, decides when enough payload bytes have been obtained. if this number is fewer than 47, then the remaining bytes for the cell are loaded with p_fill_char. this implies that because of the presence of the structure pointer, the number of fill bytes will not be constant for structured data queues. 5. the structure used for signaling is determined by the mode of the line and the value of e1_with_t1_sig. normally the signaling structure will follow the mode of the line. however, if the line is in e1 mode and e1_with_t1_sig is set, then a t1 signaling structure is used. this means that for a single ds0, signaling is inserted after 24 data bytes instead of after 16 data bytes. if data is to be sent from the data queue, this process continues byte-by-byte while updating pointers and counters until one of the following occurs: ? the cell is complete. ? the last data byte for the last frame of the multiframe has been set. 6. when signaling information is to be sent, data is obtained from the signaling locations of the multiframe, with the help of the channel allocation table (t_chan_alloc). this process proceeds byte-by-byte until one of the following occurs: ? the cell is complete. ? all signaling nibbles for all channels assigned to the queue have been sent.
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  figure 24 shows an example of the payload generation process. 3.4 transmit utopia interface block (tutopia) the tutopia block (shown in figure 10 on page 24 ) conveys the cells emitted by the talp to the utopia interface. depending on the value of phy_enable input pin (refer to phy_ enable on page 81 ), the utopia interface will either act as an atm side (controls the write enable signal) or as a phy side (controls the cell available signal). as a phy-side device, the tutopia block can also act as an sphy device or a mphy device (refer to appendix b, ref- erences, on page 203 for the utopia level 2 specification version), depending on the value of the sphy_en bit in comp_lin_reg. the sphy_en bit will default to off, so if the utopia interface is being used in an mphy environment, there will be no contention while the device is in software reset. in atm mode, the tutopia block sources tatm_data(7:0), tatm_soc, and /tatm_en while receiving /tatm_full. the start-of-cell (soc) indication is generated coincident with the first byte of each cell that is transmitted on tatm_data. tatm_data and tatm_soc are driven at all times. the write enable signal indicates which clock cycles contain valid data for the utopia bus. the device will not assert the /tatm_en signal until it has a full cell to send. figure 24. payload generation 127 0 . . . . . . . . 5 6 7 8 9 10 rl_ser tftc writes the bytes in pairs into t_data_buffer frames 0 31 channel talp builds (segments) cell from t_data_buffer. in this case from ds0s 6 and 7. t_data_buffer 6 7
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  the tutopia responds to the /tatm_full signal. if the signal is not asserted, and the tuto- pia has data to send, it will do so by asserting /tatm_en. if /tatm_full is asserted, then the data flow will be paused in exactly two clock cycles, until the /tatm_full signal allows the cell to be completed. see the timing diagram in figure 25 on page 42 . in phy mode, the tutopia block sources rphy_data(7:0), rphy_soc, and rphy_ clav, while receiving /rphy_en. the soc indication is generated coincident with the first byte of each cell that is transmitted on rphy_data. in phy mode, the ratm_data and ratm_soc signals are driven only when valid data is being sent; otherwise they are tristated. /rphy_addr is an input and is used only in mphy mode. the cell available (rphy_clav) signal indicates when the device has a complete cell to send. in sphy mode, rphy_clav is always driven. if in mphy mode, the output enable for this sig- nal is the /rphy_addr input delayed by one cycle. in mphy mode, /rphy_addr is tied to one of the address signals so rphy_clav is driven only when polled. the utopia standard defines a 5-bit address. since the aal1gator ii has only a single active low address bit, multiple aal1gator ii devices can be connected in parallel to the same mphy interface by connecting each one to a separate address bit. in this manner, five aal1gator iis can be connected to an mphy interface using the following addresses: 0f h , 17 h , 1b h , 1d h , and 1e h with no additional logic. if other addresses are needed or additional devices are to be connected to the same interface, additional logic may be required. if a cell is being read in sphy mode, or is being read from a channel that is being polled in mphy mode, the value of rphy_clav will be 1 until the cell has been read out of the fifo and there are no more cells to send. in phy mode, the tutopia block is dependent on the atm device to read the data by asserting the /rphy_en input. in sphy mode, data is placed on rphy_data any cycle following one in which /rphy_en was asserted. in mphy mode, in figure 25. transmit utopia timing (atm mode) 53 01 02 03 04 05 06 07 tatm_clk(i) /tatm_full(i) /tatm_en(o) tatm_soc(o) tatm_data(o)
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  order to output data, the tutopia block also has to be selected, which is done when /rphy_ addr is low on the falling edge of /rphy_en. see figure 26 on page 43 and figure 27 on page 43 for the tutopia transfer timing diagrams. the aal1gator ii can tolerate temporary deassertions of /tatm_full(/rphy_en), but it is assumed that enough utopia bandwidth is present to accept the cells that the aal1gator ii can produce in a timely manner. the aal1gator ii can tolerate a deassertion of /tatm_ full(/rphy_en) for up to 128 line interface frames, but this would cause excessive cdv. the talp circuit writes cells one byte at a time into the fifo. the soc is also placed into the fifo. * used only in mphy mode ** for mphy mode *** for sphy mode figure 26. tutopia start-of-transfer timing (phy mode) * used only in mphy mode ** for mphy mode *** for sphy mode figure 27. tutopia end-of-transfer timing (phy mode) d1 d2 d3 rphy_clk(i) rphy_addr(i)* rphy_clav(o)*** rphy_clav(o)** rphy_soc(o) rphy_data(o) /rphy_en(i) d48 d49 d50 d51 d52 d53 rphy_clk(i) rphy_addr(i)* rphy_clav(o)** rphy_clav(o)*** rphy_soc(o) rphy_data(o) /rphy_en(i)
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  clocking for the read side is derived from the system clock. if the fifo is full, the cell building process stalls until space becomes available. if the fifo remains full, additional queues will not be able to be added. this will be indicated to the processor by the csd_attn bit not being cleared after setting that bit. the tutopia circuit controls when a cell is transmitted from the fifo. since the utopia can transmit cells at higher speeds than the talp, and since it is expected to see applications in a shared utopia environment, cell transmission from the tutopia commences only when there is a full cell worth of data available to transmit. the cell is then transmitted to the interface at the utopia tatm_clk rate, in accordance with the /tatm_full (/rphy_en) input. the max- imum supported clock rate is 33 mhz. 3.5 receive utopia interface block (rutopia) the rutopia block receives cells from the utopia interface and sends them to the ralp interface. depending on the value of the phy_enable input pin, the utopia interface acts either as an atm side (controls the read enable signal) or as a phy side (controls the cell avail- able signal). as a phy-side device, the rutopia block can also act as an sphy device or as an mphy device (refer to the utopia level 2 specification in appendix b, references, on page 203 ) depending on the value of sphy_en in comp_lin_reg. the sphy_en bit defaults to mphy mode, so if the device is in an mphy environment, there will not be any con- tention while the chip is in software reset. in atm mode, the rutopia block receives ratm_data(7:0), ratm_soc, and /ratm_ empty while driving /ratm_en. at reset the rutopia block activates /ratm_en to pre- vent excessive queueing in the system. after the end of reset, if the /ratm_empty input signal is not asserted, the rutopia block waits for an ratm_soc signal from the phy layer. once the ratm_soc signal arrives, the cell is accepted as soon as possible. a small intermediate fifo allows the interface to accept data at the maximum rate. if the fifo fills, the /ratm_en signal will not be asserted again until the device is ready to accept an entire cell. the /ratm_en signal depends only on the cell space and is independent of the state of the /ratm_empty sig- nal. see figure 28 for the rutopia timing diagram. figure 28. receive utopia timing (atm mode) 12 4 6 357 ratm_clk(i) /ratm_empty(i) ratm_data(i) ratm_soc(i) /ratm_en(o)
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  the rutopia block waits for an soc. when an ratm_soc signal arrives, a counter is started, and 53 bytes are received. if a new ratm_soc occurs within a cell, the counter reinitializes. this means that the corrupted cell will be dropped and the good cell will be received. the ruto- pia block stores the atm cell in the receive fifo. if the receive fifo becomes full, it stops receiving atm cells from the phy layer. the /ratm_empty signal can also turn on or off any time, even if the /ratm_en signal is on. as a result, an incoming byte is valid only when /ratm_en is active and /ratm_empty is inactive. the bytes are written to the fifo with ratm_clk. ratm_clk is an input to the aal1gator ii. the maximum supported clock rate is 33 mhz. in phy mode, the rutopia block receives tphy_data(7:0), tphy_soc, and /tphy_en while driving tphy_clav. the cell available (tphy_clav) signal indicates when the device is ready to receive a complete cell. in sphy mode, tphy_clav is always driven. in mphy mode, the output enable for this signal is the /tphy_addr input delayed by one cycle. in mphy mode, /tphy_addr is tied to one of the address signals so that tphy_clav is driven only when polled. the utopia standard defines a 5-bit address. since the aal1gator ii has only a single active low address bit, multiple aal1gator ii devices can be connected in parallel to the same mphy interface by connecting each one to a separate address bit. in this manner, five aal1gator iis can be connected to an mphy interface using the following addresses: 0f h , 17 h , 1b h , 1d h , and 1e h with no additional logic. if other addresses are needed or additional devices are to be connected to the same interface, additional logic may be required. at reset, the rutopia block tristates tphy_clav. after the end of reset, the rutopia block waits for /tphy_en to be asserted, and in sphy mode, will accept data as long as /tphy_en is asserted. in mphy mode, /tphy_addr must be low on the falling edge of /tphy_en in order for the rutopia block to accept the data. when soc is detected, a counter is started and 53 bytes are received. if a new tphy_soc occurs within a cell, the counter reinitializes. this means that the corrupted cell will be dropped and the good cell will be received. a small interme- diate fifo allows the interface to accept data at the maximum rate. if the fifo fills, the tphy_
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  clav signal will not be asserted again until the device is ready to accept an entire cell. if the fifo is filling, tphy_clav will be deasserted when there is room for only four more bytes of data. see figure 29 and figure 30 for the rutopia transfer timing diagrams. 3.6 receive adaptation layer processor (ralp) the ralp moves data from the receive fifo atm cells in the rutopia interface block to the external memory. the ralp does not verify the hec because it expects a phy layer device to verify the hec before presenting the cell to the aal1gator ii. if shift_vci = 0, cells received with vci(8) = 0 or payload type indicator (pti) = 4 to 7 are sent to the oam queue and are stored using the pointers located in the oam receive queue table. the head pointer is the address to the first cell received for each queue, and is usually maintained * only used in mphy mode ** for mphy mode *** for sphy mode figure 29. rutopia start-of-transfer timing * only used in mphy mode ** for mphy mode *** for sphy mode figure 30. rutopia end-of-transfer timing d1 d2 d d3 tphy_clk(i) tphy_addr(i)* tphy_clav(o)** tphy_clav*** tphy_data(i) tphy_soc(i) /tphy_en(i) d47 d48 d49 d50 d51 d52 d53 tphy_clk(i) tphy_addr(i)* tphy_clav(o)** tphy_clav*** tphy_soc tphy_data(i) /tphy_en(i)
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  by the microprocessor. the tail pointer is the address of the last cell of the queue, and is main- tained by the ralp. the ralp updates the tail pointer upon each oam cell arrival. figure 31 shows the interpretation of the incoming cell header. if shift_vci = 0, cells received with vci(8) = 1 and pti = 0 to 3 are interpreted as data cells and are sent to the queue with vci(7:0). bits 7:5 determine the line, and bits 4:0 determine the queue. the receiver ignores vci(15:9) and vpi(11:0). if shift_vci = 1, the interpretation of the vci bits is shifted by four bits. when the cell is a data cell, the ralp verifies the sn crc. if the sn crc does not verify, the ralp attempts to correct it as specified in itu-t recommendation i.363.1 and increments the r_incorrect_snp counter (refer to r_incorrect_snp word format on page 158 ). if the ralp cannot correct the cell, it identifies the cell as invalid. if the disable_sn bit (refer to disable_sn on page 160 ) has not been set for this queue, then sequence number processing is done in accordance with the fast sn algorithm described in itu-t recommendation i.363.1. based on the value of the current sn and snp and the previ- ous sn and snp, the ralp either accepts the current cell, drops the current cell, or accepts the current cell and inserts cells. inserted cells have the following properties: ? if the queue is in an unstructured mode or if the queue is in structured mode and the inserted cell should not contain a pointer, each inserted cell contains the number of payload bytes as determined by r_bytes_cell in r_mp_config (refer to r_mp_ config word format on page 155 ). ? if the queue is in a structured mode and the inserted cell should contain a pointer and r_ bytes_cell is equal to 47, then the inserted cell contains 46 payload bytes. ? if the queue is in a structured mode and the inserted cell should contain a pointer and r_ bytes_cell is less than 47, then the inserted cell contains r_bytes_cell payload bytes. figure 31. cell header interpretation 1514131211109876543210 queue mod 32 line ignored ignored vci data 11109876543210 ignored 11109876543210 ignored 1514131211109876543210 ignored queue mod 32 line shift_vci = 0 vpi vci shift_vci = 1 vpi data
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  ? the determination on whether or not the inserted cell should contain a pointer is based on the pointer generation rules defined by itu-t recommendation i.363.1. a pointer will be assumed if the queue is structured and the following conditions are met: ? the sn is even and there has been no other pointer in the group of eight cells so far and (the sequence number = 6 or the structure ends within the current inserted cell or next cell). ? if the queue is in sdf-mf mode and the inserted cell should contain signaling data, the number of payload bytes is adjusted but no signaling information is written to the signaling buffer. therefore, the old signaling information will be played out. note: in sdf-fr mode, the ces specification states that if the queue contains data for only one ds0, no pointer is used. if a queue is configured in this manner, set r_ chan_unstruct in the r_max_buf word (refer to r_max_buf word format on page 157 ). ? the value of the payload data depends on the value of insert_data in r_sn_ config (refer to r_sn_config word format on page 160 ). the default is to load the value of 0xff. other options are to use conditioned data as defined by r_condq_ data, old data, or pseudorandom data. if old data is chosen, no data will be written and the old data in the receive buffer will be used. the receive buffer write pointer will be adjusted to the correct location. if the pseudorandom data option is chosen, the data played out will be the value of r_condq_data with the msb replaced by the current value of the pseudorandom number generator x 18 + x 7 + 1. notes: ? all ds0s within the replaced cell will use the same algorithm. to minimize the overhead of generating the inserted cells, use the old data option whenever possi- ble. the old data option still needs to do internal processing on a byte-by-byte basis, but since it does not have to write any data, it is about twice as fast as the other options. ? the fast sn algorithm will, under certain situations, allow bad cells to pass through. when this occurs the cells are marked as potentially bad. any cells marked as bad will not have pointer verification done on them and any signaling data or srts information they contain will not be written. however, if these cells should contain pointers or signaling data, adjustments are made to the amount of payload data written so bit integrity is maintained. ? the pseudorandom option is not available for udf-hs mode. the ralp will maintain bit integrity if there is no more than one consecutive errored cells, or if there are up to six lost cells and the queue does not underrun. to maximize the tolerance of the ralp to errored cells, the r_cdvt and r_max_buf values should be increased a little to
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  guarantee that the queue does not underrun in situations that could be handled by the sequence number processing algorithm. if bit integrity is lost, then the queue will be forced into an under- run condition and realign with the structure if one exists. note: sn processing takes place independent of whether or not a queue is in underrun. if cells are detected lost while transitioning through an underrun state, or into a forced underrun state, no cells will be inserted. max_insert controls the maximum number of cells that will be inserted when cells are lost. if more cells than max_insert are lost, then the queue will be forced into an underrun condition. the default value of max_insert, 000, is equivalent to 111 which means that up to seven cells will be inserted. max_insert is in the r_sn_config register and can be specified on a per-queue basis. if seven cells are lost, this will appear as a misinserted cell and will not be handled correctly. likewise, if more than seven cells are lost, it will appear as if fewer than seven cells were lost because the sn repeats every eight cells. if seven or more cells were lost, there is high probability that the queue will underrun. if the queue has not underrun, the ralp takes the following steps to minimize the impact: 1. any time cells are inserted, if the next received pointer mismatches, it will immediately create a forced underrun to realign to the structure instead of waiting for two consecutive mis- matches. 2. no signaling information will be updated until a valid and correct pointer is received. if disable_sn (refer to disable_sn on page 160 ) is set, no sn or snp processing occurs. that is, no cells will be inserted or dropped but statistics will be kept. the ralp begins in the start state. once a cell is received with a valid sn, the out_of_ sync state is entered. any cells received while in the start state, including one with a valid sn are dropped, unless nodrop_in_start (refer to nodrop_in_start on page 161 ) is set. if this bit is set and the cell has a valid sn, the cell will be accepted. note: if it is important to not dump the first cell received, make sure nodrop_in_ start is set. while in the out_of_sync state, if another cell is received with a valid sn and in the correct sequence, then the sync state is entered and the cell is accepted. otherwise, if a cell with an invalid sn is received, then the start state is re-entered and the cell is dropped. otherwise, if the cell has a valid sn but is in the incorrect sequence, then the cell is dropped and the ralp remains in the out_of_sync state.
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  while in the sync state, if another cell is received with a valid and correct sn, the ralp remains in the sync state. otherwise, if an invalid sn is received, the ralp goes to the invalid state; or if a valid but incorrect sn is received, the out_of_sequence state is entered. all cells received while in the sync state are accepted. while in the invalid state, four possibilities can occur. ? if the cell received has an invalid sn, the start state is re-entered and the cell is dropped. ? if the cell received has a valid sn and is in sequence with the last valid sn, then a misinserted cell is detected and ralp returns to the sync state, but the cell is dropped to keep sn integrity because the previous cell has already been sent. ? if the cell received has a valid sn and is equal to sn + 2 with respect to the last valid sn, then the ralp returns to the sync state and the cell is accepted. ? otherwise, if the sn is valid but does not meet any of the previous criteria, then the cell is dropped and the out_of_sync state is entered. while in the out_of_sequence state, five possibilities can occur. ? if the cell received has an invalid sn, the start state is re-entered and the cell is dropped. ? if the sn is valid and in sequence with the last valid, in sequence sn, then a misinserted cell is detected and ralp returns to the sync state, but the cell is dropped to keep sn integrity because the previous cell has already been sent. ? if the sn is valid and the sn is in sequence with the sn of the previous cell, the ralp assumes cells were lost; it inserts a number of dummy cells identical to the number of lost cells, accepts the cell and returns to sync. if the number of lost cells is greater than max_insert, then no cells are inserted and a forced underrun occurs. if an underrun occurred when cells were lost, no cells are inserted. ? if the received sn is valid and the sn has a value equal to sn + 2 with respect to the last sn received in sequence, then the cell is accepted and the ralp returns to the sync state. ? and finally, if the sn is valid but does not meet any of the previous criteria, then the cell is dropped and the ralp enters the out_of_sync state. see figure 32 on page 51 for the flow of the fast sn algorithm. anytime a cell is dropped, the r_dropped_cells counter (refer to r_dropped_cells word format on page 161 ) is incremented and the sn_cell_drop sticky bit (refer to sn_ cell_drop on page 158 ) is set. anytime a cell is detected lost, the r_lost_cells counter (refer to r_lost_cells word format on page 162 ) is incremented by the number of lost
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  cells. anytime the sn state machine transitions from the sync state to the out_of_ sequence state, the r_sequence_err counter (refer to r_sequence_err word for- mat on page 157 ) is incremented. anytime a misinserted cell is detected the r_misinserted counter (refer to r_sequence_err word format on page 157 ) is incremented. all cells received while in the sync state are accepted whether or not they are good. any errored cells received while in the sync state are marked as potentially bad cells. these marked cells will not have their pointers checked, if they contain one; or if they contain signaling data, the sig- naling data will not be written to memory. figure 32. fast sn algorithm out of sequence in seq/accept out of seq/discard, force underrun out of seq/discard, force underrun valid sn/discard (unless nodrop_in_start is set) invalid sn/discard invalid sn/discard, force underrun invalid sn/discard, force underrun invalid out of sync start out of seq/accept in seq-1+1/accept in seq/insert cells/accept in seq-1/discard sync invalid sn/discard out of seq/discard in seq-1+1/accept in seq-1/discard invalid sn/accept in seq/accept
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  if the cell is accepted, the ralp then transfers the cell to the external memory using the r_ chan_alloc fields in the r_queue_tbl. figure 32 shows this receive cell process. if a valid cell is not received in time, the queue may enter an underrun condition. the cdvt value allows the receiver to be configured to store a variable amount of data for that queue before data is emitted. this storage permits the cells to arrive with variable delays without causing errors on the line outputs. this cdvt value is used when the first cell is received after an underrun. the aal1gator ii also provides protection from buffer overrun and pointer misalign- ment. the ralp reads the atm cell from the rutopia fifo, verifies the header, and determines the queue to which the cell belongs. it then locates the data bytes of the cell and writes them into frame buffers provided for that line. receive queues exist in the external memory, and 128 kbytes figure 33. receive cell processing wait for a cell in the receive utopia fifo. is the cell a data cell? no check crc-10 and place cell in oam queue. yes is snp correct? correct sn/snp as specified in itu-t recommendation i.363.1 (refer to appendix b references on page 203 ). able to correct is sn correct? no yes place data and signaling in appropriate timeslots and update write pointers. accept/drop cell as specified in itu-t recommendation i.363.1 fast sn algorithm (refer to appendix b references on page 203 ). drop accept unable to correct no
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  of external memory are allocated for receive queues. since there are eight lines, each line is allo- cated 16 kbytes of memory. this provides for 32 multiframes of e1 data (16 multiframes if t1 signaling is used) or 16 multiframes of t1 data. the queues are used in a wrap-around fashion. read and write pointers are used at the frame and multiframe level to access the receive queue. figure 34 on page 53 shows cell reception. read and write pointers are used at the frame and mul- tiframe level to access the correct data byte location. the ralp writes sequential cell data bytes into successive ds0 locations assigned to that queue. when the ralp encounters signaling bytes, it places them in the receive signaling buffer. the buffers are organized in a multiframe format. there is one signaling nibble per multiframe allo- cated to each ds0 channel. therefore, 32 bytes of signaling storage are allocated for each multi- frame worth of data buffer. figure 34 and the figures that follow illustrate these points and identify how different data formats are stored in the data and signaling buffers. the ralp determines channels by reading from the r_chan_alloc table and then storing the data in the corresponding timeslots of successive frame buffers in the r_data_buffer. figure 34. cell reception 511 0 . . . . . . . . rftc frames 31 0 channel ralp reassembles bytes from the cell. in this case, into ds0s 17 and 21. r_data_buffer 17 21 22 21 20 19 18 17
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  figure 35 shows the contents of the receive data buffer for esf-formatted t1 data for lines in the sdf-mf mode. only the first 24 bytes of each frame buffer and the first 24 frame buffers of every 32 are used. this provides storage for 384 frames or 16 multiframes of t1 data. figure 36 shows the contents of the receive data buffer for sf-formatted t1 data for lines in the sdf-mf mode. only the first 24 bytes of each frame buffer and the first 24 frame buffers of every 32 are used. this provides storage for 384 frames of t1 data. figure 35. t1 esf sdf-mf format of the r_data_buffer figure 36. t1 sf sdf-mf format of r_data_buffer 23 0 31 0 ds0 511 frame buffer number mf0 mf15 mf1 32 256 23 24 32 31 0 511 frame buffer number ds0 mf 1 mf 2 mf 3 mf 4 mf 5 12 480 mf 0 ? ? ? mf 30 mf 31 23 0
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  figure 37 shows the contents of the receive buffer with t1 data for lines in the sdf-fr mode. only the first 24 bytes of each frame buffer and the first 24 frame buffers of every 32 are used. this provides storage for 384 frames of t1 data. figure 38 shows the contents of the receive buffer with e1 data for lines in the sdf-mf mode. figure 37. t1 sdf-fr format of the r_data_buffer figure 38. e1 sdf-mf format of the r_data_buffer 0 23 32 31 511 frame buffer number ds0 frame 0 frame 23 frame 24 frame 47 frame 1 frame 360 frame 383 0 ? ? 31 0 ds0 0 16 32 mf 1 mf 0 mf 2 mf 31 frame buffer number 511 496
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  figure 39 shows the contents of the receive data buffer for e1 sdf-mf data using t1 signaling. in this case a 24 frame multiframe is used. figure 40 shows the contents of the receive data buffers with e1 data for lines in the sdf-fr mode. figure 39. e1 sdf-mf with t1 signaling format of the r_data_buffer figure 40. e1 sdf-fr format of the r_data_buffer 23 0 31 0 ds0 511 frame buffer number mf0 mf15 mf1 32 256 31 0 ds0 0 frame 1 frame 0 frame 2 frame buffer number 511 frame 511
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  figure 41 shows the contents of the receive data buffer for lines in the udf-ml or udf-hs mode, including t1 unstructured mode. in unstructured modes, each frame buffer contains 256 bits. in the case of unstructured t1, each frame of t1 data consists of 192 bits. therefore, each frame buffer contains 1.33 frames of t1 data. this must be considered when determining cdvt numbers. figure 42 shows the contents of the receive signaling buffer with an esf-formatted t1 line in the sdf-mf mode. even channel bytes are stored in the low-byte end of the data words. figure 41. unstructured format of the r_data_buffer figure 42. t1 esf sdf-mf format of the r_sig_buffer 255 0 data bits 0 256 bits 511 256 bits 256 bits 256 bits 1 2 frame buffer number multiframe 0 1 2 3 15 channel 0 abcd channel 1 abcd channel 23 abcd channel 22 abcd channel 24 not used ? ? channel 31 not used ? ? byte address 0 31
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  figure 43 shows the contents of the receive signaling buffer with an sf-formatted t1 line in the sdf-mf mode. even channel bytes are stored in the low-byte end of the data words. figure 44 shows the contents of the receive signaling buffer with an e1 line in the sdf-mf mode. even channel bytes are stored in the low-byte end of the data words. figure 43. t1 sf sdf-mf format of the r_sig_buffer figure 44. e1 sdf-mf format of the r_sig_buffer multiframe ? 2 0 1 15 channel 0 abab channel 1 abab channel 23 abab byte address ? ? ? channel 24 not used ? ? ? channel 31 not used 0 31 0 31 channel 0 abcd channel 1 abcd channel 31 abcd channel 30 abcd ? ? byte address multiframe
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  figure 45 shows the contents of the receive signaling buffer with an e1 line in the sdf-mf mode with t1 signaling. even channel bytes are stored in the low-byte end of the data words. 3.6.1 handling data and signaling bytes in a structure a data structure consists of all of the data bytes for that structure, followed by all of the signaling bytes for that structure, if any. in order to locate the data and signaling bytes, the following mem- ory structures are used: ? the r_tot_size structure provides the number of data and signaling bytes in a structure. an element can be a data byte or a signaling nibble. this value is initialized by the microprocessor. ? the r_tot_left structure provides the running count of the number of bytes remaining in the structure. ? the r_data_last structure identifies the last byte of the data structure. as the data bytes are stored in memory, the r_tot_left structure is decremented by one. when r_ tot_left is equal to r_data_last, it indicates the end of the data structure. the remaining bytes are stored in the r_sig_queue. the signaling nibbles are written to the memory until the r_tot_left equals zero. once r_tot_left is zero, r_tot_size is copied to r_tot_left and the storing of data and signaling structures is repeated. r_ tot_size and r_dat_last are initialized by the microprocessor. ? the structure used for signaling is determined by the mode of the line and the value of e1_ with_t1_sig (refer to e1_with_t1_sig on page 126 ). normally the signaling structure will follow the mode of the line. however if the line is in e1 mode and e1_ with_t1_sig is set, then a t1 signaling structure is used. this means that for a single ds0, signaling is updated after 24 data bytes instead of after 16 data bytes. figure 45. e1 sdf-mf mode with t1 signaling format of the r_sig_buffer 0 15 channel 0 abcd channel 1 abcd channel 31 abcd channel 30 abcd ? ? byte address multiframe
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  ? if the line is in sdf-mf mode and r_chan_no_sig = 1 in the r_max_buf word (refer to r_max_buf word format on page 157 ), then the queue is handled as if it is in sdf-fr mode. the structure should be adjusted from a multiframe structure to a frame structure. for example, a channel with two ds0s would have a structure size of two bytes when r_chan_no_sig is set and a structure size of 49 bytes (include two signaling nibbles) if in t1 mode when r_chan_no_sig is off. 3.6.2 underrun the aal1gator ii declares an underrun condition for a vc when no data is present in the vc receive buffer. when this situation occurs, the aal1gator ii plays out conditioned data and old signaling from multiframe 0 onto the timeslots assigned to the vc experiencing underrun. timeslots generated by other vcs are unaffected. each time a cell is received after a queue has entered an underrun condition, the underrun sticky bit is set. ralp does not know about an underrun until a cell is received for the queue that underflowed. to make sure that each underrun is counted only once, ralp will increment the r_underrun counter when exiting the under- run state and entering the resume state. the initial underrun caused by reset is not counted. forced underruns due to other errors are not counted by the underrun counter. if the underrun counter is read and the queue is currently in underrun, the present underrun condition will not be accounted for until the queue exits underrun. to determine if the queue is in underr un, check the level of the r_underrun bit in the r_line_state register. when not in udf-hs mode, the choice of conditioning data and signaling while in underrun depends on the value of rx_cond in the r_ch_queue_tbl. three choices exist: ? play out the data from r_cond_data and the signaling from multiframe 0 (default). ? play out pseudorandom data and the signaling from multiframe 0. (for applications that are sensitive to constant data.) the pseudorandom data option uses the data from r_ cond_data and then replaces the most significant bit with the result of an 18th order polynomial, specifically x 18 + x 7 + 1. ? play out old data and the signaling from multiframe 0. (also for applications that are sensitive to constant data.) the old data option replays out the contents of the data in the receive buffer for that channel. data is played out from the location of the read pointer. therefore, the oldest data is played out first. if in udf-hs mode and in underrun, the data played out is the conditioned data defined for line 0, channel 0. there is no old data or pseudorandom data options available for udf-hs mode. if the data is structured, the ralp searches for a new pointer, and finds the start of the structure. in all cases the ralp queues up data for one cdvt worth of time before exiting the underrun condition. the r_underrun bit in r_line_state word of r_queue_tbl indicates if the queue is in an underrun state. the underrun sticky bit is set each time a cell is received during the underrun condition. cells received while the pointer and start of structure are being located are
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  dropped and the pointer_search sticky bit is set. the dropped_cell counter is also incremented. if the underrun condition persists, the microprocessor should set the conditioned bits to derive both the data and the signaling from the conditioned areas. refer to line items 5 and 6 in figure 47 on page 64 that show how a start up after an underrun occurs. 3.6.3 pointer processing when an incoming cell has a cell pointer, the cell pointer is checked against the local pointer value maintained by the ralp. a single pointer mismatch causes no corrective actions. the pointer is ignored and the cell is used as if it contained valid data. if two consecutive pointer mis- matches occur, then the ralp forces an underrun condition that causes the receiver to realign to the next incoming pointer. the proper r_cond_data and signaling from multiframe 0 are played out until the new pointer is found and one cdvt worth of time has passed. the ptr_ mismatch sticky bit is set when the pointer mismatch occurs. the forced_underrun sticky bit is set each time a cell is received and dropped during the forced underrun condition. then, the underrun and pointer search bits are set, as described previously in section 3.6.2 underrun on page 60 . if the received cell is potentially bad as determined by sn processing, but should contain a pointer, the pointer is not checked against the local pointer. however, in this situation, and when cells are inserted, if the next received pointer mismatches, then a ptr_mismatch error is reported and a forced underrun occurs. by not waiting for the second pointer mismatch in these situations where bit integrity may have been lost, a quicker detection and recovery will result. when a ptr_mismatch error occurs, the r_pointer_reframes counter is incremented. also, when a single pointer mismatch occurs, signaling information will not be updated until a valid pointer is received to prevent corruption of the signaling information. parity checking is also performed on pointers if r_chk_parity is set in r_mp_config. if a parity error is detected the ptr_parity_err sticky bit will be set and the r_ptr_par_err counter will increment. if two consecutive pointer parity errors occur, then the ralp forces an underrun condition and resynchronizes. this resynchronization will cause r_pointer_ reframes to increment.
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  figure 46 shows the state machine that checks for valid pointers and structures. 3.6.4 overrun overrun occurs when the data in the buffer is removed at a slower rate than it is filled. however, because the aal1gator ii buffers are quite large, 16 kbytes per line, by the time this happens, all data in the buffer can be quite old. therefore, the buffer size is adjustable, which regulates how much data can be stored in the buffer before an overrun occurs. the r_max_buf field in the r_ max_buf register controls the maximum size of the receive buffer. the value of r_max_buf should be equal to or greater than two times cdvt, or two times the number of frames required per cell, whichever is greater. the overrun condition is declared when the data in the receive buffer exceeds the maximum spec- ified buffer size (refer to r_max_buf word format on page 157 ). when a cell is received that causes the maximum buffer depth to be exceeded, the overrun sticky bit is set and the aal1gator ii enters the forced underrun condition. the incoming cells for the queue are dropped until underrun occurs. each time a cell is received and dropped in the forced underrun condition, figure 46. pointer/structure state machine pointer does not match prediction (or parity bad and checking parity) or out-of-sequence or invalid cell received non-dummy pointer found and parity good (or not checking parity) no pointer found structure found underrun or force-underrun underrun or force-underrun structure found pointer does not match prediction or underrun or force-underrun (or checking parity and pointer matches prediction and parity good (or not checking parity) pointer matches prediction and parity good (or not checking parity) pointer found underrun one mismatch (signaling is not updated)
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  the forced_underrun sticky bit is set. once underrun occurs, the overrun flag is cleared and the same algorithm used in underrun is followed. figure 47 on page 64 describes overrun detection, the underrun and recovery process. anytime an overflow occurs, the r_overruns counter (refer to r_underruns word for- mat on page 162 ) is incremented. note: inserting cells can cause an overrun. the threshold is checked as each byte is written into memory. if an overflow occurs in the middle of a cell, the remainder of the cell will be dropped.
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  figure 47. overrun detection write pointer write pointer write pointer write pointer notes: 1. normal operation. 2. if an overrun occurs, then the overrun sticky bit is set and a forced underrun condition is set. 3. during the forced underrun condition, the write pointer is fixed, new data is dropped, and data in the buffer is played out, causing the read pointer to increment. each time a cell is received and dropped, the forced_underrun sticky bit is set. 4. the read pointer catches up to the write pointer, indicating a forced underrun condition, and the underrun condition is set. both pointers are advanced for each frame that the queue remains in the underrun condition. conditioned underrun data is played out. 5. when the first valid cell comes in, the resume sticky bit is set. the write pointer and the underrun end pointer are set to the proper frame that is one r_cdvt ahead of the read pointer. conditioned underrun data is played out. 6. once the read pointer is equal to the underrun end pointer, then resume is complete and real data is now played out. normal operation now takes place. r_max_buf r_max_buf r_max_buf write pointer read pointer r_max_buf read pointer read pointer read pointer = underrun end pointer 1 read pointer r_max_buf write pointer (fixed) 3 read pointer r_cdvt 2 4 5 6 old location of read pointer r_cdvt underrun end pointer (fixed)
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  3.6.5 counters and sticky bits the ralp sets sticky bits for overrun, underrun, pointer mismatch, resume, srts underrun, srts resume, and other conditions. as with all registers, the sticky bits are located in the external ram. they are set by the aal1gator ii and must be cleared by the microprocessor. sticky bits provide a history of events that have occurred. since these bits can be set with every cell, it is bet- ter to use the counters for statistics gathering purposes. the aal1gator ii increments the counters for incorrect sns, incorrect snps, cells received, underflows, overflows, dropped cells, misin- serted cells, lost cells, pointer parity errors, and pointer mismatches. the transfer status bits can be used to detect that a clear was overwritten by toggling transfer bit 15 with each clear of the sta- tus bits and then reading the value immediately thereafter. refer to r_error_stky word format on page 158 for a description of sticky bits. 3.6.6 oam cells when an oam cell arrives, the ralp stores it in the oam queue. the ralp notifies the micro- processor of the arrival of oam cells by generating an interrupt. the interrupt is cleared when the clr_rx_oam_latch (refer to cmdreg word format on page 165 ) is set. the micro- processor reads the oam cells from the oam queue. the microprocessor maintains the oam_ head value. the ralp maintains the oam_tail value. the aal1gator ii also checks the crc-10 of the cell and records the results in the receive buffer in the crc_10_pass parameter (refer to r_oam_cell_n format on page 163 ). 3.6.7 interrupt handling the aal1gator ii uses the following algorithm to handle interrupts: 1. an internal register called the command register (refer to section 7.9 cmdreg (command register) on page 165 ) handles interrupts. this command register has an oam_int_mask bit. the microprocessor can access the command register by asserting the addr17 pin, the /proc_cs signal, and either the /proc_rd or the /proc_wr signal. 2. at the end of an oam cell, the ralp generates an interrupt to the microprocessor. if the mask bit is set, the ralp does not present the interrupt to the interrupt pin. 3. at the end of any cell (either an oam or data cell), the head and tail pointers of the oam queue table are compared. if they do not match (that is, if there are cells in the oam fifo), the ralp sets the interrupt latch. 3.7 receive frame transfer controller (rftc) the rftc moves data bytes from the receive frame buffer to the appropriate timeslot of the appropriate line. it must perform a timeslot-to-queue translation for each timeslot by reading the receive channel-to-queue table (refer to section 7.8.6 r_ch_to_queue_tbl on page 148 ). the rftc outputs data to the external t1 or e1 framer device. for structured data, the rftc
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  uses the synchronization signals (tl_fsync and tl_msync) supplied by the framer to per- form a parallel-to-serial conversion on the outgoing data that it reads from a multiframe buffer in the order in which it is needed. a rising edge on tl_fsync indicates the beginning of a frame, and a rising edge on tl_ msync indicates the beginning of a multiframe. the rftc realigns when an edge is seen on these signals. it is not necessary to provide an edge every frame or multiframe. signaling data is driven for all frames of any multiframe and will change only on multiframe boundaries. for t1 mode, signaling data may change every 24th frame. for e1 mode, signaling may change every 16th frame. a special case of e1 mode exists that permits the use of t1 signaling with e1 framing. normally an e1 multiframe consists of 16 frames of 32 timeslots, where signaling changes on multiframe boundaries. when e1_with_t1_sig is set in lin_str_mode and the line is in e1 mode, the tftc will use a multiframe consisting of 24 frames in 32 timeslots. in this mode, the aal1gator ii reads signaling on the 24th frame of the multiframe. the signaling nibble is valid for each channel when the last nibble of each channels data is being driven. see figure 48 for an example of signaling bits in a t1 frame. see figure 49 for an exam- ple of signaling bits in the e1 mode. note: the aal1gator ii treats all 32 timeslots identically. although e1 data streams con- tain 30 timeslots of channel data and 2 timeslots of control (timeslots 0 and 16), data figure 48. output of t1 signaling bits figure 49. output of e1 signaling bits channel 0 abcd xxxx channel 1 abcd xxxx channel 2 abcd channel 21 abcd xxxx abcd channel 22 channel 23 abcd ... ... ... line output signals during every frame 0 1 2 21 22 23 xxxx - indicates signaling is invalid xxxx xxxx xxxx tl_ser (timeslots ) tl_sig channel 0 abcd xxxx channel 1 abcd xxxx channel 2 abcd channel 29 abcd xxxx abcd channel 30 channel 31 abcd ... ... ... 0 1 2 29 30 31 xxxx - indicates signaling is invalid xxxx xxxx xxxx tl_ser (timeslots ) tl_sig line output signals during every frame
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  and signaling for all 32 timeslots are stored in memory and can be sent and received in cells. each line request is serviced by the main rftc state machine using a priority encoder (line 0 has the highest priority). the line requests two bytes at a time. this means two channels have to be serviced by the rftc state machine. when the rftc state machine receives an attention request from a line, it services the request according to the following psuedocode (please note that the bits discussed are maintained in r_line_state in the queue tables and should not be confused with the externally accessible sticky bits): if the rx_cond_h (or rx_cond_l) = 10, play out the data from the r_ cond_data area and the signaling from the r_cond_sig area. this is the conditioned state. else if either the r_underrun bit or the r_resume bit is set in r_line_ state, then play out the data and signaling as determined by the value of rx_ cond_h (or rx_cond_l). this is the frozen signaling state. the data played out can either be constant, pseudorandom, or old data. else play out the data from the r_data_buf and the signaling from the r_sig_ buffer. this is the normal operating state. when there is no data in the frame buffer, the rftc sets an underrun bit r_ underrun bit is cleared, and r_rd_fr_ptr = r_wr_fr_ptr. the ralp clears r_underrun and sets r_resume when it encounters the first valid cell in the receive buffer. if the r_resume bit is set and the r_rd_fr_ptr = r_end_underrun_ ptr, then rftc clears the r_resume bit. this occurs one cdvt time after the first valid cell arrives. if the line is in sdf_mf mode, then r_sig_resume is set to indicate that signaling is not yet available. once a multiframe has completed and signaling data is available, r_sig_resume will be cleared.
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  figure 50 shows the channel-to-queue table operation. 3.7.1 generation of tl_clk the transmit line clock (tl_clk) can be generated in four different ways. it can be sourced externally when tl_clk is an input. or the aal1gator ii can generate it either by looping rl_ clk, synthesizing a nominal t1 or e1 clock, or synthesizing an e1 or t1 clock based on received srts values. figure 50. channel-to-queue table operation notes: 1. rftc fetches r_ch_to_queue entry for ds0s 6 and 7 for line 2. 2. ds0 6 is being serviced by queue mod 32 = 10 queue = line 2 x 32 + 10 = 74 ds0 7 is being serviced by queue mod 32 = 20 queue = line 2 x 32 + 20 = 84 3. rftc fetches the queue status and write pointer for queue 74. the queue is not in underrun. 4. rftc fetches the queue status and write pointer for queue 84. the queue is in underrun. 5. rftc fetches the data for ds0 6 from the r_data_buffer. 6. rftc fetches the data for ds0 7 from r_cond_data. 64 74 84 20 10 r_data_buffer rftc r_ch_to_queue r_queue_tbl (note 6) (note 5) (note 1) (note 2) (note 3) (note 4) r_cond_data
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  the aal1gator ii will generate the tl_clk based on the value of clk_source bits in lin_ str_mode (refer to section 7.4.3 lin_str_mode on page 126 ). once the lin_str_ mode and line mode information is read, the tl_clk switches to the desired clock. the four clock choices are: looped rl_clk, synthesized nominal e1 or t1 clock, a synthesized clock based on the srts received values, or an externally generated clock. for the period of time after the aal1gator ii receives a hardware reset and before the lin_str_ mode is read, the tl_clk operation is dependent on tlclk_output_en. if the tlclk_ output_en input is tied low, then the tl_clk pins are inputs and the clock is externally gen- erated. and if tlck_output_en is high, then tl_clk defaults to the looped rl_clk. notes: ? the tlclk_output_en input controls all lines. therefore tl_clk will be generated for all lines or for no lines upon power up. once the lin_str_mode information is read, each individual tl_clk may be generated differently according to the clk_source bits for that queue. there will be a discontinuity in the clock when switching from one source to another. ? if a synthesized clock is selected but sw_reset (refer to sw_reset on page 165 ) is set, the output clock will be 0. to maintain a continuous clock, define the clk_source bits equal to the default value the first time the cmd_ reg_attn bit is written (sw_reset = 1). then when clearing sw_reset, set the clk_source bits to the desired value and set the cmd_reg_attn bit again. this will read the old configuration values, but with the new clk_ source values. 3.7.1.1 recovered mode set the clk_source bits in the lin_str_mode register for that line to 01, to generate a clock based on the received rl_clk. this will put the line into recovered mode. this change will not take affect until the cmd_reg_attn bit is set. 3.7.1.2 synthesize a nominal e1 or t1 clock to generate a nominal e1 or t1 clock, set the clk_source bits in the lin_str_mode reg- ister for that line to 10. this change will not take affect until the cmd_reg_attn bit is set. the aal1gator ii can synthesize a nominal e1 clock or t1 clock that is derived from sys_clk. for this mode, sys_clk must be 38.88 mhz. the accuracy of the synthesized clock is depen- dent on the accuracy of sys_clk. therefore, if a 50 ppm t1 clock is desired, sys_clk needs to be a 38.88 mhz clock signal with 50 ppm accuracy. to lock the synthesized clock to a network clock, be sure sys_clk is derived from the network clock.
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  refer to section 8.10.1 nominal t1 clock on page 185 and section 8.10.2 nominal e1 clock on page 187 for jitter characteristics of a synthesized clock. it is recommended that a line inter- face unit (liu) with a built in jitter attenuator, such as the level one lxt305a, be used to obtain improved jitter characteristics. 3.7.1.3 synthesize an e1 or t1 clock based on srts note: the aal1gator ii uses bellcores patented srts clock recovery technique. refer to the note on page 172 for additional information regarding bellcores srts patent. set the clk_source bits in the lin_str_mode register for that line to 11 to generate an e1 clock or t1 clock based on received srts values. this change will not take affect until the cmd_reg_attn bit is set. for this mode, sys_clk must be 38.88 mhz. for srts, it is recommended that sys_clk has an accuracy of 100 ppm. since a network derived n_clk is used, sys_clk does not have to be derived from the network clock. the aal1gator ii supports srts for unstructured data formats on a per-line basis. srts support requires an input reference clock (n_clk). the input reference frequency is defined as 155.52 ? 2^ n mhz, where n is chosen so the reference clock frequency is greater than the frequency being transmitted, but less than twice the frequency being transmitted (2 tl_clk > n_clk > tl_ clk). for t1 or e1 implementations, the input reference clock frequency is 2.43 mhz and must be synchronized to the atm network. figure 51 on page 71 shows the process implemented for each udf line enabled for srts. the rftc also generates a local srts value from the network clock (n_clk) and the local tl_clk. it queues the incoming srts values, and, at the appropri- ate time, generates a 4-bit twos complement code that indicates the difference between the locally generated srts value and the incoming srts value. the value of this code ranges from -8 (1000) to +7 (0111). a higher value than had been output previously indicates the remote clock is running faster than the local clock. a lower value than had been output previously indicates the remote clock is running slower than the local clock. the rftc uses this value internally to syn- thesize the tl_clk. the rftc supports srts only for unstructured data formats on a per-line basis. the srts_ cdvt value in r_srts_config register must be configured correctly so the time delay value of the srts data matches the time delay value of the signal data. the rftc queues the srts nibbles and then fetches it before it is needed. if the srts queue overruns or underruns, a value of 0000 is used. the rftc also outputs the srts difference through a multiplexed interface. this value can be used by external logic to synthesize the tl_clk. refer to section 6.7 srts timing on page 118 for timing of this interface. this interface is needed for high speed mode (e3 or ds3) srts
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  which requires an external voltage controlled crystal oscillator (vcxo) to generate the clock. refer to section 8.7 udf-hs mode srts-based clock recovery application for ds3 on page 180 for an application note on high speed srts. the e1 srts synthesizer can generate an e1 clock over a 90 ppm range, while the t1 srts synthesizer can generate a t1 clock over a 200 ppm range to accommodate older t1 equipment. due to the t1 synthesizers wider range, it has a more jitter than the e1 synthesizer. while the e1 synthesizer falls well within the g.823 jitter mask, the t1 synthesizer has a spike at 8 khz that exceeds the mask. however, in both cases using an liu with a built-in jitter attenuator, such as the level one ? lxt305a, eliminates most of the jitter and is recommended when using srts. refer to section 8.10.3 srts t1 clock on page 188 and section 8.10.4 srts e1 clock on page 191 for the jitter characteristics of the srts synthesizer both with and without the external jitter attenuator. 3.7.2 adaptive clock operation the adaptive clock provides a queue depth difference for control of an external clock. if the depth is low, then the clock frequency should be reduced. if the depth is high, then the clock frequency should be increased. if no data is received, the channel status specifies to either freeze the external clock or to set it to a nominal rate. the aal1gator ii supports adaptive clocking in sdf-fr, sdf-mf, and udf-ml modes. it sup- ports adaptive clocking for all connection types within these three modes, including those with partially filled cells or only a single ds0. the device does not support adaptive clocking in udf-hs mode. figure 51. receive side srts support cell reception server clock frequency tl_clk input reference clock frequency n_clk (for t1/e1, 2.43 mhz. for t3, 77.76 mhz.) latch divide by 3008 4-bit latch 4-bit counter local 4-bit srts code 4 bits remote 4-bit srts-code fifo difference between remote srts code and local srts code. 4 bits srts queue srts bit extraction r_srts_cdvt
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  the adaptive clocking mechanism shares the srts port with the srts mechanism. it operates according to the following psuedocode: if an srts difference value is ready, it is played out with srts_strb asserted and adap_strb deasserted. the srts values have higher priority than the adaptive clock values. else if a channel pair is being serviced, start a state machine to play out the channel status, as shown in table 3 on page 72 , asserting adap_strb as each state is played out. else if a cell is received and a valid frame difference can be computed, start a state machine to play out the frame difference and queue number, as shown in table 4 on page 72 , asserting adap_strb as each state is played out. the playout of the channel status may interrupt the playout of the frame difference at any point. table 3. channel status srts_line(3:0) value srts_dout(3:0) value 3210 15 0 line(2) line(1) line(0) 14 channel(4) channel(3) channel(2) channel(1) 13 underrun_h resume_h underrun_1 resume_1 notes: ? the underrun bit goes high when underrun occurs and will stay high until the underrun condition is cleared. ? resume goes high when the underrun condition is cleared and goes low when the read pointer equals the underrun_end pointer. ? the status is played out once for every 16 receive line clocks. ? the channel values (4:1) identify 16 channel pairs. the odd and even numbered channels of each pair are carried by the high and low bits, respectively. ? for udf-ml mode, the values in channel (4:1) and underrun_l can be ignored. line (2:0) indicate the line number and underrun_h represents the queue status. table 4. frame difference srts_line(3:0) value srts_dout(3:0) value 3210 5 cell_vci(7) cell_vci(6) cell_vci(5) cell_vci(4) 4 cell_vci(3) cell_vci(2) cell_vci(1) cell_vci(0)
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  the aal1gator ii provides the receive buffer frame difference for an external circuit to generate an adaptive tl_clk signal. the general mechanism is often termed buffer centering. a clock delta value is determined externally by subtracting the nominal frame difference (value of r_ cdvt) from the actual receive buffer frame difference. this delta value is then transformed into the frequency selection for an external tl_clk frequency synthesizer. the closed-loop action of this circuit causes the delta value to find a center point. when the delta is above the center point, there is too much data buffered and the tl_clk frequency must be increased. when the delta is below the center point, there is too little data buffered and the tl_clk frequency must be decreased. as mentioned in the atm forum ces standard specification (refer to appendix b, refer- ences, on page 203 ), the adaptive clock recovery algorithm does not meet the t1/e1 clock wan- der requirements. see figure 52, which shows a direct adaptive clocking implementation. 3 0 0 frame_diff(8) frame_diff(7) 2 frame_diff(6) frame_diff(5) frame_diff(4) frame_diff(3) 1 frame_diff(2) frame_diff(1) frame_diff(0) 0 0 0000 notes: ? the 9-bit frame_diff value gives the number of frames currently stored in the rx frame buffer. for udf-ml mode, frame_diff is expressed in 256-bit increments. ? the cell_vci(7:5) identifies the eight line numbers. ? the cell_vci(4:0) bits can be ignored in udf-ml mode. ? the frame difference values for each queue are played out in sequence 5 to 0 every time a cell is received and a valid frame difference can be calculated. table 4. frame difference (continued) srts_line(3:0) value srts_dout(3:0) value 3210
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  adaptive clocking, in general, is not well-suited for voice applications since low frequency or dc changes of the cdv will pass through most filters and cause frame slips. the mechanism shown in figure 52 can be enhanced for voice applications by adding a low pass filter with a time con- stant greater than the cdv, along with a fine-granularity frequency synthesizer. 3.8 memory interface and arbitration controller (miac) the miac is the central arbiter for all memory accesses. it provides a priority mechanism that incorporates fairness to satisfy all real-time requirements of the various blocks. all blocks requesting a data transfer with the common memory supply the address, control signals, and the data, if the requested data transfer is a write, to the miac. when the miac actually grants the transfer, it provides a grant signal to the requesting block, indicating that the transfer has been performed. the memory is arbitrated on a cycle-by-cycle basis. no device is granted the bus for an indefinite time. the aal1gator ii requires external memory address buffers and data transceivers. the miac provides control signals and transceiver enables when a microprocessor cycle is to be performed. the device can directly control external 646-type registered transceivers on the data bus between the memory data interface and the microprocessors data bus. the sp_data_clk signal con- trols the writing of data from the memory into the 646 transceiver. the sp_data_dir signal and sp_data_en signal should be used to direct the data through the 646s devices properly. the /sp_add_en signal should be used as a tristate enable for the external address buffers. the aal1gator ii controls the tristating of its own address and data bus drivers so no conflicts occur with the microprocessor external buffers. figure 52. direct adaptive clock operation channel status aal1 sar processor (aal1gator ii) tl_clk tl_ser tl_sig framer line interface with transmit jitter attenuator gain frequency synthesizer use nominal frequency frame difference nominal frame difference underrun
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  to operate at the specified maximum sys_clk rate of 38.88 mhz, the external buffers must be of the fast cmos ttl (fct) or fast (f) family, or of comparable speed. these outputs must be supplied directly to the external devices and no buffering of the signals is allowed. refer to figure 108 on page 195 for an example of how to interface memory to the aal1gator ii. also refer to table 26 on page 195 for recommended timing parameters. the microprocessor accesses the device by means of the /proc_cs, /proc_rd, and /proc_ wr lines. to perform a write cycle, the /proc_cs and /proc_wr lines are asserted. the aal1gator ii then enables the address and data lines at the proper moments and, when complete, the device signals the microprocessor with the /proc_ack line. to perform a read, the micro- processor asserts /proc_cs and /proc_rd and waits for /proc_ack before reading the data. to prevent the microprocessor from obtaining too many memory cycles and interfering with nor- mal aal1gator ii activity, a holdoff circuit is used. this circuit denies the microprocessor an additional access until 20 sys_clk cycles have expired since the last microprocessor access. the holdoff output is asserted whenever this denial period is in effect. the microprocessor can poll this output to determine when it will be allowed an access that is not subjected to hold- off. see timing diagrams starting with figure 55 on page 92 . refer to section 8 application notes starting on page 168 for a block diagram of the interface. 3.9 configuration to transfer tdm data into cells or to transfer cells into tdm data, the pm73121 and each line needs to be configured, and the queues need to be configured and added to determine how the data should be mapped. to configure the aal1gator ii and each line, initialize the comp_lin_reg and the lin_str_ mode register for each line. to have these values take affect, the cmd_attn bit must be set and the sw_reset bit in the cmdreg must be cleared. since no queues are defined at this time, all timeslots in the r_ch_td_queue_tbl should be initialized to play out conditioned data and the r_cond_data field for each timeslot should be initialized to the desired play out value. if signaling is used, then r_cond_sig should also be initialized. once the line is configured, queues can be added as described in section 7.11 activating a new queue on an active line on page 167 .
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  4 pin descriptions 4.1 package diagram figure 53 (parts 1 and 2) shows the physical dimensions for the 240-pin quad flat pack (with square/gullwing leads) used for the aal1gator ii. the package measurements are in millimeters. figure 53. 240-pin physical dimensions diagram (part 1 of 2) aal1gator ii 121 60 1 180 181 240 61 120 34.60 0.40 (note 3) 32.00 0.20 (note 4) 34.60 0.40 (note 3) 0.5 nom. measurements are shown in millimeters. not drawn to scale. 32.00 0.20 (note 4) -b- -a- -d- (note 2) (note 3) (note 2) refer to notes on the following page. pm73121 tm
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  figure 53. 240-pin physical dimensions diagram (part 2 of 2) datum plane 3.40 0.10 measurements are shown in millimeters. not drawn to scale. 0.50 0.20 0.25 nom. -e- (note 1) 4.10 max. seating plane -c- base plane 0.23 0.05 (lead width) (note 5) notes: 1. datum plane -e- is located at the mold parting line and is coincident with the bottom of the leads where the leads exit the plastic body. 2. datums -a-, -b-, and -d- to be determined at datum plane -e-. 3. to be determined at seating plane -c-. 4. these dimensions do not include mold protrusion. allowable protrusion is 0.25 mm per side. these dimensions do not include mold mismatch and are determined at datum plane -e-. 5. this dimension does not include dambar protrusion. allowable dambar protrusion shall be 0.08 mm total in excess of this dimension at maximum material condition. dambar cannot be located on the lower radius or the foot. minimum spacing between adjacent leads to be 0.07 mm. 6. coplanarity = 0.08 mm and is the difference between the highest lead and the seating plane, -c-. 7. controlling dimension = millimeter. 8. if you need a measurement not shown in this figure, please contact pmc-sierra. 0.15 0.05 (lead height) cross section of lead (note 6)
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  4.2 pinout 4.2.1 pinout diagram figure 54 shows a pinout diagram of the aal1gator ii. figure 54. aal1gator ii pinout diagram /mem_cs /mem_oe mem_addr /mem_we(0) /mem_we(1) mem_data 17 16 8 8 8 8 8 8 8 8 8 8 4 4 srts_strb srts_line srts_dout tl_fsync tl_msync tl_ser tl_sig tl_clk rl_fsync rl_msync rl_ser rl_sig rl_clk /proc_cs /proc_rd /proc_wr /proc_ack proc_int /sp_data_en tatm_data (rphy_data) tatm_soc (rphy_soc) /tatm_en (rphy_clav) /tatm_full (/rphy_en) tatm_clk (rphy_clk) ratm_data (tphy_data) ratm_soc (tphy_soc) /ratm_en (tphy_clav) /ratm_empty (/tphy_en) ratm_clk (/tphy_clk) sys_clk /reset n_clk 8 8 addr17 sp_data_clk /scan_trst scan_tclk scan_tms scan_tdi scan_tdo p_test adap_strb /sp_add_en sp_data_dir /oe holdoff /rphy_addr phy_enable /tphy_addr tlclk_output_en
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  4.2.2 pinout table table 5 shows the pinout for the aal1gator ii. table 5. aal1gator ii pinout pin name pin name pin name pin name 1 vdd 39 srts_line(2) 77 rl_ser(1) 115 tl_clk(4) 2 vdd 40 srts_line(1) 78 rl_sig(1) 116 gnd 3 ratm_data(7)* 41 srts_line(0) 79 tlclk_output_en 117 rl_clk(4) 4 ratm_data(6)* 42 srts_strb 80 tl_sig(2) 118 rl_fsync(4) 5 ratm_data(5)* 43 adap_strb 81 tl_ser(2) 119 gnd 6 ratm_data(4)* 44 gnd 82 tl_msync(2) 120 gnd 7 ratm_data(3)* 45 n_clk 83 tl_fsync(2) 121 vdd 8 ratm_data(2)* 46 gnd 84 tl_clk(2) 122 vdd 9 ratm_data(1)* 47 srts_line(3) 85 gnd 123 rl_msync(4) 10 ratm_data(0)* 48 vdd 86 rl_clk(2) 124 rl_ser(4) 11 ratm_soc* 49 tl_sig(0) 87 gnd 125 rl_sig(4) 12 /ratm_empty* 50 tl_ser(0) 88 gnd 126 nc 13 /ratm_en* 51 tl_msync(0) 89 sys_clk 127 tl_sig(5) 14 /rphy_addr 52 tl_fsync(0) 90 gnd 128 tl_ser(5) 15 gnd 53 gnd 91 nc 129 tl_msync(5) 16 ratm_clk* 54 tl_clk(0) 92 vdd 130 tl_fsync(5) 17 gnd 55 gnd 93 vdd 131 tl_clk(5) 18 /tphy_addr 56 phy_enable 94 rl_fsync(2) 132 gnd 19 vdd 57 vdd 95 rl_msync(2) 133 rl_clk(5) 20 tatm_clk* 58 rl_clk(0) 96 rl_ser(2) 134 rl_fsync(5) 21 gnd 59 gnd 97 rl_sig(2) 135 rl_msync(5) 22 /tatm_en* 60 gnd 98 nc 136 rl_ser(5) 23 /tatm_full* 61 vdd 99 tl_sig(3) 137 rl_sig(5) 24 tatm_soc* 62 vdd 100 tl_ser(3) 138 nc (must be left disconnected) 25 tatm_data(7)* 63 rl_fsync(0) 101 tl_msync(3) 139 tl_sig(6) 26 tatm_data(6)* 64 rl_msync(0) 102 tl_fsync(3) 140 tl_ser(6) 27 tatm_data(5)* 65 rl_ser(0) 103 tl_clk(3) 141 tl_msync(6) 28 tatm_data(4)* 66 rl_sig(0) 104 gnd 142 tl_fsync(6) 29 gnd 67 nc 105 rl_clk(3) 143 tl_clk(6) 30 tatm_data(3)* 68 tl_sig(1) 106 rl_fsync(3) 144 gnd 31 vdd 69 tl_ser(1) 107 rl_msync(3) 145 rl_clk(6) 32 tatm_data(2)* 70 tl_msync(1) 108 rl_ser(3) 146 rl_fsync(6) 33 tatm_data(1)* 71 tl_fsync(1) 109 rl_sig(3) 147 rl_msync(6) 34 tatm_data(0)* 72 tl_clk(1) 110 nc 148 rl_ser(6) 35 srts_dout(3) 73 gnd 111 tl_sig(4) 149 rl_sig(6)
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  36 srts_dout(2) 74 rl_clk(1) 112 tl_ser(4) 150 nc (must be left disconnected) 37 srts_dout(1) 75 rl_fsync(1) 113 tl_msync(4) 151 vdd 38 srts_dout(0) 76 rl_msync(1) 114 tl_fsync(4) 152 tl_sig(7) 153 tl_ser(7) 175 /proc_cs 197 mem_addr(3) 219 mem_data(1) 154 tl_msync(7) 176 /proc_wr 198 mem_addr(4) 220 mem_data(2) 155 tl_fsync(7) 177 /proc_rd 199 mem_addr(5) 221 mem_data(3) 156 tl_clk(7) 178 sp_data_clk 200 mem_addr(6) 222 gnd 157 gnd 179 gnd 201 mem_addr(7) 223 mem_data(4) 158 rl_clk(7) 180 gnd 202 gnd 224 mem_data(5) 159 rl_fsync(7) 181 vdd 203 mem_addr(8) 225 mem_data(6) 160 rl_msync(7) 182 vdd 204 mem_addr(9) 226 mem_data(7) 161 rl_ser(7) 183 /reset 205 mem_addr(10) 227 mem_data(8) 162 rl_sig(7) 184 /oe 206 mem_addr(11) 228 mem_data(9) 163 nc 185 sp_data_dir 207 mem_addr(12) 229 gnd 164 gnd 186 /sp_add_en 208 mem_addr(13) 230 mem_data(10) 165 scan_tdi 187 /sp_data_en 209 gnd 231 mem_data(11) 166 scan_tms 188 /mem_we(0) 210 gnd 232 mem_data(12) 167 /scan_trst 189 gnd 211 mem_addr(14) 233 mem_data(13) 168 scan_tdo 190 /mem_we(1) 212 vdd 234 mem_data(14) 169 scan_tclk 191 /mem_oe 213 vdd 235 mem_data(15) 170 p_test 192 /mem_cs 214 mem_addr(15) 236 nc 171 gnd 193 gnd 215 mem_addr(16) 237 nc 172 holdoff 194 mem_addr(0) 216 addr17 238 pullup_disable 173 proc_int 195 mem_addr(1) 217 nc 239 gnd 174 /proc_ack 196 mem_addr(2) 218 mem_data(0) 240 gnd * refer to table 6 on page 81 for alternative signal names when phy_enable is in phy mode. table 5. aal1gator ii pinout (continued) pin name pin name pin name pin name
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  4.3 pin descriptions 4.3.1 utopia interface signals note: unless stated otherwise, the maximum output current (i max ) is 4 ma. table 6. utopia interface signals atm mode signal phy mode signal pin # type reset value* description phy_enable phy_enable 56 in na phy_enable determines which utopia mode the utopia interface is configured. when 0, the chip is configured with an atm layer utopia interface and when 1, the chip is configured with a phy layer utopia interface. an internal pulldown resistor will default the chip to the atm layer mode if left unconnected. tatm_clk rphy_clk 20 in na atm : transmit utopia atm layer clock is the synchronization clock input for synchronizing data output on tatm_data. phy : receive utopia phy layer clock is the synchronization clock input for synchronizing data output on rphy_data. maximum frequency is 33 mhz. tatm_soc rphy_soc 24 out 0(atm) z(phy) atm : transmit utopia atm layer start-of-cell is an active high signal asserted by the aal1gator ii when tatm_data contains the first valid byte of the cell. phy : receive utopia phy layer start-of-cell is an active high signal asserted by the aal1gator ii when rphy_data contains the first valid byte of the cell. in sphy mode, the aal1gator ii drives this signal only in cycles following those with /rphy_en asserted. in mphy mode, the aal1gator ii drives this signal only when the atm layer has selected it for a cell transfer. maximum output current (i max ) = 8 ma. tatm_data(7:4) tatm_data(3) tatm_data(2:0) rphy_data(7:4) rphy_data(3) rphy_data(2:0) 25-28 30 32-34 out 0(atm) z(phy) atm : transmit utopia atm layer data bits 7 to 0 form the byte-wide data driven to the phy layer. bit 0 is the least significant bit (lsb). bit 7 is the most significant bit (msb) and should be transmitted first. phy : receive utopia phy layer data bits 7 to 0 form the byte-wide data driven to the atm layer. in sphy mode, the aal1gator ii drives this bus only in cycles following those with /rphy_en asserted. in mphy mode, the aal1gator ii drives this bus only when the atm layer has selected it for a cell transfer. bit 0 is the lsb. bit 7 is the msb and should be transmitted first. maximum output current (i max ) = 8 ma.
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  /tatm_en rphy_clav 22 out 1(atm) z(phy) atm : transmit utopia atm layer enable is an active low signal asserted by the aal1gator ii during cycles when tatm_data contains valid data. it is not asserted until the aal1gator ii is ready to send a full cell. phy : receive utopia phy layer cell available is an active high signal asserted by the aal1gator ii to indicate it is ready to deliver a complete cell. in mphy mode, this signal is driven only when /rphy_ addr is low in the previous cycle. maximum output current (i max ) = 8 ma. /tatm_full /rphy_en 23 in na atm : transmit utopia atm layer full is an active low signal from the phy layer device to indicate that a maximum of four additional transmit data writes will be accepted. phy : receive utopia phy layer enable is an active low signal asserted by the atm layer to indicate rphy_data and rphy_soc will be sampled at the end of the next cycle. in mphy mode, the aal1gator ii will drive data only if /rphy_ addr was low on the falling edge of /rphy_en. ratm_clk tphy_clk 16 in na atm : receive utopia atm layer clock is the synchronization clock input for synchronizing data input on ratm_data. phy : transmit utopia phy layer clock is the synchronization clock input for synchronizing data input on tphy_data. maximum frequency is 33 mhz. ratm_soc tphy_soc 11 in na atm : receive utopia atm layer start-of-cell is an active high signal asserted by the phy layer when ratm_data contains the first valid byte of a cell. phy : transmit utopia phy layer start-of-cell is an active high signal asserted by the atm layer when tphy_data contains the first valid byte of a cell. ratm_data(7:0) tphy_data(7:0) 3-10 in na atm : receive utopia atm layer data bits 7 to 0 form the byte-wide data from the phy layer device. bit 0 is the lsb. bit 7 is the msb and should be received first. phy : transmit utopia phy layer data bits 7 to 0 form the byte-wide data from the atm layer device. bit 0 is the lsb. bit 7 is the msb and should be received first. table 6. utopia interface signals (continued) atm mode signal phy mode signal pin # type reset value* description
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  /ratm_en tphy_clav 13 out 0(atm) z(phy) ** atm : receive utopia atm layer enable is an active low signal asserted by the aal1gator ii to indicate ratm_data and ratm_soc will be sampled at the end of the next cycle. it will not be asserted until the aal1gator ii is ready to receive a full cell. phy : receive utopia phy layer cell available is an active high signal asserted by the aal1gator ii to indicate there is a cell-space available. in mphy mode, the aal1gator ii drives this signal only when /tphy_addr is low in the previous cycle. maximum output current (i max ) = 8 ma. /ratm_empty /tphy_en 12 in na atm : receive utopia atm layer empty is an active low signal asserted by the phy layer to indicate that there is no valid data in the current cycle. phy : transmit utopia phy layer enable l is an active low signal asserted by the atm layer device during cycles when tphy_data contains valid data. in mphy mode, the aal1gator ii will accept data only if /tphy_addr was low on the falling edge of /tphy_en. /tphy_addr 18 in na atm : this signal is not used in atm mode. phy : transmit utopia phy layer address is an active low address input that is tied to one of the five possible atm layer mphy address signals. this input is used as an output enable for tphy_clav and to validate the activation of /tphy_en. in sphy mode, this input is not used. there is an internal pull-down resistor. /rphy_addr 14 in na atm : this signal is not used in atm mode. phy : receive utopia phy layer address is an active low address input that is tied to one of the five possible atm layer mphy address signals. this input is used as an output enable for rphy_clav and to validate the activation of /rphy_en. in sphy mode this input is not used. there is an internal pull-down resistor. * present when /reset is asserted and both tatm_clk and ratm_clk and sys_clk are being clocked. ** /ratm_en is asserted during reset to prevent excessive queueing in systems that use the aal1gator ii. this value is asserted when /reset is asserted and both ratm_clk and sys_clk are being clocked. in phy mode this signal can be pulled to desired value as it is tristated. table 6. utopia interface signals (continued) atm mode signal phy mode signal pin # type reset value* description
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  4.3.2 memory interface signals 4.3.3 t1/e1 interface signals table 7. memory interface signals signal pin # type* reset value* description mem_data(15:10) mem_data(9:4) mem_data(3:0) 235-230 228-223 221-218 bi z memory data bits 15 to 0 form the 16-bit wide data bus to external memory. mem_addr(16:15) mem_addr(14) mem_addr(13:8) mem_addr(7:0) 215-214 211 208-203 201-194 out z memory address data bits 16 to 0 form the 17-bit wide address bus to external memory. pulled up with an internal resistor. /mem_oe 191 out 1 memory output enable is an active low signal that enables the sram to drive data. maximum output current (i max ) = 8 ma. /mem_we(0) 188 out 1 memory write enable zero is an active low signal for the low-byte write. maximum output current (i max ) = 8 ma. /mem_we(1) 190 out 1 memory write enable one is an active low signal for the high-byte write. maximum output current (i max ) = 8 ma. /mem_cs 192 out 1 memory chip select is an active low chip-select signal for external memory. maximum output current (i max ) = 8 ma. *present when /reset is asserted and sys_clk is being clocked. table 8. t1/e1 interface signals signals pin # type reset value* description tl_fsync(7) tl_fsync(6) tl_fsync(5) tl_fsync(4) tl_fsync(3) tl_fsync(2) tl_fsync(1) tl_fsync(0) 155 142 130 114 102 83 71 52 in na transmit line frame synchronization bits 7 to 0 are the transmit frame synchronization indications from the framer devices in sdf-mf and sdf-fr modes . the lines originate from the corresponding framer devices 0 to 7. tl_clk(7) tl_clk(6) tl_clk(5) tl_clk(4) tl_clk(3) tl_clk(2) tl_clk(1) tl_clk(0) 156 143 131 115 103 84 72 54 bi na* transmit line channel clock bits 7 to 0 are the clock lines for the eight t1/e1 lines. the bits clock the data from the aal1gator ii to the corresponding framer devices. in the udf-hs mode, only line 0 is active. depending on the value of tlclk_output_en and the clk_source bits, these pins are either outputs or inputs. if tlclk_output_en is high, these pins are outputs and the clock is sourced internally at power up. this can later be changed by the clk_source bits. maximum output current (i max ) = 8 ma. * if tlclk_output_en is high, the pins output the rl_clk during reset.
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  tl_sig(7) tl_sig(6) tl_sig(5) tl_sig(4) tl_sig(3) tl_sig(2) tl_sig(1) tl_sig(0) 152 139 127 111 99 80 68 49 out 0 transmit line signal bits 7 to 0 are the cas signaling outputs to the corresponding framer devices in the sdf-mf mode. maximum output current (i max ) = 8 ma. tl_msync(7) tl_msync(6) tl_msync(5) tl_msync(4) tl_msync(3) tl_msync(2) tl_msync(1) tl_msync(0) 154 141 129 113 101 82 70 51 in na transmit line multiframe synchronization bits 7 to 0 carry multiframe timing information from the corresponding framer devices. these signals do not need to indicate the start of each and every multiframe. they can occur infrequently. each time one of these signals has an edge to indicate the start of a multiframe, the aal1gator ii re-aligns the multiframe according to where the edge occurred. tie to ground if not used. tl_ser(7) tl_ser(6) tl_ser(5) tl_ser(4) tl_ser(3) tl_ser(2) tl_ser(1) tl_ser(0) 153 140 128 112 100 81 69 50 out 0 transmit line serial data bits 7 to 0 carry the received data to the corresponding framer devices. in the udf-hs mode, only line 0 is active. maximum output current (i max ) = 8 ma. rl_sig(7) rl_sig(6) rl_sig(5) rl_sig(4) rl_sig(3) rl_sig(2) rl_sig(1) rl_sig(0) 162 149 137 125 109 97 78 66 in na receive line signal bits 7 to 0 carry the cas signaling information from the corresponding framer devices in sdf-mf mode. in udf-hs mode, only line 0 is active. rl_ser(7) rl_ser(6) rl_ser(5) rl_ser(4) rl_ser(3) rl_ser(2) rl_ser(1) rl_ser(0) 161 148 136 124 108 96 77 65 in na receive line serial data bits 7 to 0 carry the receive data from the corresponding framer devices. table 8. t1/e1 interface signals (continued) signals pin # type reset value* description
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  rl_msync(7) rl_msync(6) rl_msync(5) rl_msync(4) rl_msync(3) rl_msync(2) rl_msync(1) rl_msync(0) 160 147 135 123 107 95 76 64 in na receive line multiframe synchronization bits 7 to 0 carry the multiframe timing information from the corresponding framer device. these signals do not need to indicate the start of each and every multiframe - they can occur infrequently. each time one of these signals has an edge to indicate the start of a multiframe, the aal1gator ii re-aligns the multiframe according to where the edge occurred. tie to ground if not used. rl_fsync(7) rl_fsync(6) rl_fsync(5) rl_fsync(4) rl_fsync(3) rl_fsync(2) rl_fsync(1) rl_fsync(0) 159 146 134 118 106 94 75 63 in na receive line frame synchronization bits 7 to 0 carry the receive frame information from the corresponding framer devices in the sdf-mf and sdf-fr modes. the bits originate from the corresponding framer bits. rl_clk(7) rl_clk(6) rl_clk(5) rl_clk(4) rl_clk(3) rl_clk(2) rl_clk(1) rl_clk(0) 158 145 133 117 105 86 74 58 in na receive clock bits 7 to 0 form an 8-bit bus. the bits originate from the corresponding framer bits 0 to 7. in the udf-hs mode, only line 0 is active. srts_dout(3:0) 35-38 out 0 srts data out bits 3 to 0 form the srts correction code when srts_strb is asserted; otherwise srts_dout bits form the channel status and frame difference when adap_strb is asserted. srts_line(3) srts_line(2:0) 47 39-41 out 0 srts line bits 3 to 0 indicate the t1/e1 line that srts_dout corresponds to when srts_strb is asserted; otherwise srts_line bits form the adaptive state machine index when adap_strb is asserted. srts_strb 42 out 0 srts strobe indicates that an srts value is present on srts_ dout(3:0) and srts_line(2:0). this transfer is made synchronous to sys_clk. adap_strb 43 out 0 adaptive strobe indicates that the channel status and frame difference are being played out on the srts_dout. the nibbles are identified by the values on srts_line. n_clk 45 in na network clock is the network-derived clock for srts. table 8. t1/e1 interface signals (continued) signals pin # type reset value* description
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  tlclk_output_en 79 in na transmit line clock output enable controls whether or not the tl_clk lines are inputs or outputs between the time of hardware reset and when the clk_source bits are read. if high, all tl_clk pins are outputs. if low, all tl_clk pins are inputs. there is an internal pull-down resistor, so all tl_clk pins are inputs if the pin is not connected. the value of this input is overwritten by the clk_source bits in the lin_ str_mode register (refer to section 7.4.3 lin_str_ mode starting on page 126 ). *present when /reset is asserted and sys_clk is being clocked. table 8. t1/e1 interface signals (continued) signals pin # type reset value* description
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  4.3.4 microprocessor interface signals table 9. microprocessor interface signals signal pin # type** reset value* description addr17 216 in na address bit 17 is bit 17 of the address bus directly connected to the aal1gator ii. this bit is set to access the cmd_reg word. holdoff 172 out 0 when holdoff is asserted, the microprocessor cycle is delayed. implementations that have fast microprocessors may want to poll this signal before accessing the device. /proc_rd 177 in na processor read is an active low read signal from the microprocessor. /proc_wr 176 in na processor write is an active low write signal from the microprocessor. /proc_cs 175 in na processor chip select is a memory request from the microprocessor. /proc_ack 174 out 1 processor acknowledge is an active low signal acknowledgment to the microprocessor. proc_int 173 out 0 processor interrupt is an active high interrupt to the microprocessor. sp_data_clk 178 out 1 supervisory processor (microprocessor) read clock is a signal with a rising edge that causes memory or register read data to be written into a 646-type external data buffer from which it is read by the microprocessor. maximum output current (i max ) = 8 ma. sp_data_dir 185 out 1 supervisory processor (microprocessor) data direction controls the direction of data in a 646-type external data buffer. this signal is driven low when data is directed toward the device and high when data is directed toward the microprocessor. maximum output current (i max ) = 8 ma. /sp_add_en 186 out 1 supervisory processor (microprocessor) address enable is an active low buffer enable strobe to enable a 244-type address external buffer. maximum output current (i max ) = 8 ma. /sp_data_en 187 out 1 supervisory processor (microprocessor) data enable is an active low buffer enable signal to enable a 646-type external data buffer. maximum output current (i max ) = 8 ma. sys_clk 89 in na system clock is the local clock used by the state machines within the device. for srts t1/e1 clock recovery applications using the digital synthesizer (refer to section 8 application notes on page 168 ), this clock should be 38.88 mhz (155.52 mhz ? 4), but need not necessarily be derived from the network. the maximum frequency is 40 mhz. /reset 183 in na reset is an active low hardware reset. /oe 184 in na output enable is an active low signal that enables outputs of the device. it allows outputs to be disabled for in-circuit testing. tie this signal to ground for normal operation. *present when /reset is asserted and sys_clk is being clocked. **all input (in) and bidirectional (bi) signals are pulled up through a large, internal pull-up resistor.
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  4.3.5 jtag and process test signals table 10. jtag and process test signals signal pin # type** reset value* description /scan_trst 167 in na scan test reset is an active low signal for boundary scan logic. note: when not using boundary scan, connect this pin to ground. /scan_trst has an internal pull-up resistor. scan_tms 166 in na scan test mode select is the mode select signal for boundary scan logic. scan_tdi 165 in na scan test data in is the serial data input signal for boundary scan. scan_tdo 168 out z scan test data out is the serial data output signal for boundary scan. scan_tclk 169 in na scan test clock is the clock for boundary scan logic. p_test 170 out 1 process test is an output to measure process test parameters only during device manufacture. leave unconnected during normal operation. pullup_disable 238 in na pullup disable is used to disable internal pullup resistors during manufacturing tests. connect directly to ground. *present when /reset is asserted and sys_clk is being clocked. **all input (in) and bidirectional (bi) signals are pulled up through a large, internal pull-up resistor.
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  5 physical characteristics table 11. absolute maximum ratings symbol parameter conditions min max unit v dd supply voltage with respect to gnd (see note below). -0.3 6.5 v i out dc output current, per pin -12 12 ma t stg storage temperature -65 150 c note: minimum dc input is -0.3 v. the absolute maximum voltage range for ttl inputs is -1.0 v to v dd +0.3 v. table 12. recommended operating conditions symbol parameter conditions min max unit v dd supply voltage 4.75 5.25 v v i input voltage 0 v dd v v o output voltage 0 v dd v t a operating temperature -40 85 c t r input rise time 10 ns t f input fall time 10 ns table 13. dc operating conditions symbol parameter conditions min typ max unit v ih high-level input voltage all ttl inputs 2.0 v v il low-level input voltage all ttl inputs .8 v v oh high-level output voltage i oh = -i max *2.4 v v ol low-level output voltage i ol = i max *0.4v i type1 typical operating current e1 all lines active, generating tl_clk 350 420 ma i typds3 typical operating current ds3 includes srts with 77.76 mhz n_clk 430 482 ma i l input leakage current all inputs -35 -115 -214 m a notes: ?typical values are t a = 25 c. ?v dd = 5 v 5%. ?*refer to table 6 on page 81 through table 10 on page 89 for the different i max values.
pm73121  aal1gator ii pmc-sierra, inc. long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  table 14. capacitance symbol parameter conditions min max unit c in input capacitance 10 pf c out output capacitance 6 pf c ext external loading to meet timing 50* pf/pin notes: ?capacitance measured at 25 c. ?sample tested only. ?*ram interface has different loading. refer to section 6.5 ram and microprocessor timing on page 103 .
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  6 timing diagrams 6.1 transmit side line interface timing figure 55, figure 56 on page 93 , figure 57 on page 94 , and figure 58 on page 94 show how the transmitter receives data from the line interface. these lines typically interface with the receive output portion of the corresponding framer. the timing parameters are explained in the table fol- lowing figure 55. rl_fsync and rl_msync are used in structured modes to align the frame and multiframe of the incoming data. these inputs are ignored in unstructured modes. the aal1gator ii expects all signals to be asserted from the rising edge of rl_clk, and samples all signals on the falling edge of rl_clk, as shown in the following figures. figure 55. transmit side interface bit timing symbol parameter signals min max unit fc clock frequency rl_clk 15 mhz tcp clock pulse width rl_clk 10 ns tsu clock setup rl_msync, rl_fsync, rl_sig, rl_ser 5ns th clock hold rl_msync, rl_fsync, rl_sig 1ns th clock hold rl_ser 2 ns tcp tcp tcp tcp tsu th fc fc rl_clk(i) rl_fsync(i) rl_msync(i) rl_sig(i) rl_ser(i)
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  when operating in t1 mode, the aal1gator ii expects signaling only on the lower four bits of each timeslot as shown in table 15 and figure 56. signaling data is accepted from the rl_sig pin only during the last frame of each multiframe. the rising edge of rl_fsync should only occur during the frame (f) bit of the t1 data stream. the rising edge of rl_msync should only occur during the f bit which starts each 12-frame (sf) or 24-frame (esf) multiframe. if a sync input occurs when it is not expected, the aal1gator ii will resync to the new framing. the sync pulses do not have to be driven every frame or multiframe. in e1 mode, signaling data is expected only on the lower four bits of each timeslot as shown in table 16 on page 94 . signaling data is only accepted from the rl_sig pin in the last frame of each multiframe. the aal1gator ii treats all 32 timeslots the same. although e1 data streams contain 30 timeslots of channel data and 2 timeslots of control, data and signaling for all 32 timeslots are stored in memory. the rising edge of rl_fsync should only occur during the first bit of each frame of the e1 data stream. the rising edge of rl_msync should only occur during the first bit of each 16 frame multiframe. if e1_with_t1_sig is set, then the rising edge of rl_msync should only occur during the first bit of each 24 frame multiframe. if a sync input occurs when it is not expected, the aal1gator ii will resync to the new structure. the sync pulses do not have to be driven every frame or multiframe. *t1 mode figure 56. transmit side t1 interface frame timing table 15. signaling format for t1 mode pcm channel number 1234 5678 xxxx abcd 1x1 2x2 ... x ... 23 x 23 24 x 24 chan 24, frame 24 chan 24, frame 24 chan 1, frame 1 chan 1, frame 1 cd cd ab abcd f 2345 678 8 7 6 5 1234 78 1 rl_clk(i) rl_fsync(i)* rl_msync(i) rl_ser(i) rl_sig(i)
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  in udf-hs mode there is no structure and the data is sampled using rl_clk(0) as shown in figure 58. figure 57. transmit side e1 interface frame timing table 16. signaling format for e1 mode pcm channel number 1234 5678 xxxx abcd 0x0 1x1 ... x ... 30 x 30 31 x 31 figure 58. transmit side high-speed interface timing symbol parameter signals min max unit fc clock frequency rl_clk(0) 45 mhz tcp clock pulse width rl_clk(0) 10 ns tsu clock setup rl_ser(0) 5 ns th clock hold rl_ser(0) 2 ns ts1, frame 0 ts1, frame 0 ts0, frame 0 ts0, frame 0 ts31, frame 15 ts31, frame 15 7 8 2345678 23456 78 23456 78 cd ab c d d c b a 1 1 1 abcd rl_clk(i) rl_fsync(i) rl_msync(i) rl_ser(i) rl_sig(i) tsu th fc fc tcp tcp tcp tcp rl_clk(i) rl_ser(i)
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  6.2 receive side line interface timing figure 59, figure 60, figure 61 on page 96 , and figure 62 on page 96 show the receiver transmits data to the lines for low-speed applications. these lines typically interface with the transmit input portion of the corresponding framer. the aal1gator ii drives the same signaling data onto tl_ sig during each frame of a multiframe. data is output off the rising edge of tl_clk, and tl_ fsync and tl_msync are sampled using the falling edge of tl_clk. the timing parameters are explained in the tables following the figures. the format of signaling data on the tl_sig output is dependent on the framer operating mode. when operating in t1 mode, the aal1gator ii drives signaling only on the lower four bits of each timeslot as shown in table 15 on page 93 and figure 60. in all cases, signaling data is driven on the tl_sig pin for all frames of each multiframe. the rising edge of tl_fsync should occur only during the frame (f) bit of the t1 data stream. the rising edge of tl_msync should occur only during the f bit that starts each 12-frame (sf) or 24-frame (esf) multiframe. if a sync input occurs when it is not expected, the aal1gator ii will resync to the new structure. the sync pulses do not have to be driven every frame or multi- frame. figure 59. receive side low-speed interface bit timing symbol parameter signals min max unit fc clock frequency tl_clk 15 mhz tcp clock pulse width tl_clk 10 ns tsu clock setup tl_msync, tl_fsync 5 ns th clock hold tl_msync, tl_fsync 1 ns tq clock-to-output delay tl_sig, tl_ser 2 14 ns tsu tcp tcp tcp fc fc tcp th tq tl_clk(i) tl_fsync(i) tl_msync(i) tl_sig(o) tl_ser(o)
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  in e1 mode, data is driven on the lower four bits of each timeslot as shown in table 16 on page 94 . signaling data is driven on the tl_sig pin for all frames of each multiframe. the aal1gator ii treats all 32 timeslots the same. although e1 data streams contain 30 timeslots of channel data and 2 timeslots of control, data and signaling for all 32 timeslots are stored in mem- ory. in udf-hs mode there is no structure and the data is driven using tl_clk(0) as shown in figure 62. figure 60. receive side t1 interface frame timing figure 61. receive side e1 interface timing figure 62. receive side high-speed interface timing symbol parameter signals min max unit fc clock frequency tl_clk(0) 45 mhz tcp clock pulse width tl_clk(0) 45 55 % tq clock-to-output delay tl_ser(0) 2 13 ns chan 24, frame 24 chan 24, frame 24 chan 1, frame 1 chan 1, frame 1 cd cd ab ab cd f 2345 678 8 7 6 5 1234 78 1 tl_clk(i) tl_fsync(i) tl_msync(i) tl_ser(o) tl_sig(o) chan 1, frame 0 chan 1, frame 0 chan 0, frame 0 chan 0, frame 0 78 23 4 567 8 23 4 56 78 111 cd abcd abcda tl_clk tl_fsync tl_msync tl_ser tl_sig tcp fc fc tcp tcp tcp tq tl_clk(i) tl_ser(o)
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  6.3 transmit utopia timing 6.3.1 tutopia as the atm layer device the transmit atm utopia timing signals are compatible with the atm forum utopia level 1 byte-by-byte specification (refer to appendix b, references, on page 203 ). table 17 indicates the transmit utopia signal names and their corresponding utopia designations. the interface will not assert /tatm_en at the beginning of a cell unless it has a full cell to send. after the aal1gator ii detects /tatm_full asserted, it deasserts /tatm_en two tatm_clk cycles later. refer to section 3.4 transmit utopia interface block (tutopia) on page 41 for addi- tional information. figure 63 illustrates the transmit atm utopia timing. table 17. transmit signal names and corresponding utopia designations signal name utopia name tatm_data txdata tatm_soc txsoc /tatm_en txenb* /tatm_full txfull* tatm_clk txclk figure 63. transmit utopia atm timing symbol parameter signals min max unit fc clock frequency tatm_clk 33 mhz tdc clock duty cycle tatm_clk 45 55 % tsu clock setup /tatm_full 5 ns th clock hold /tatm_full 1 ns tq clock-to-output delay tatm_soc, /tatm_en 2 12 ns tq clock-to-output delay tatm_data 2 13 ns tdc tdc fc fc th tsu tq 53 01 02 03 04 05 06 07 tatm_clk(i) /tatm_full(i) /tatm_en(o) tatm_soc(o) tatm_data(o)
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  6.3.2 tutopia as the phy layer device in single phy (sphy) mode the tutopia block functions as a receive utopia block when in sphy mode. the receive sphy utopia timing signals are compatible with the atm forum utopia level 1 cell-level hand-shaking specification (refer to appendix b, references, on page 203 ). table 18 indicates the aal1gator ii receive sphy utopia signal names and their corresponding utopia desig- nations. the aal1gator ii will not assert rphy_clav unless it has a complete cell to send. rphy_data and rphy_soc are tristate, except for the cycles following ones in which /rphy_en is active. rphy_clav goes inactive one cycle after the last data byte has been out- put. figure 64 shows the data output timing for the tutopia block in sphy mode. the timing parameters used in figure 64 are defined in the table following the figure. all output timing delays assume a loading of 50 pf. refer to section 3.4 transmit utopia interface block (tutopia) on page 41 for additional information. table 18. receive signal names and corresponding utopia designations signal name utopia name /rphy_addr rxaddr rphy_data rxdata rphy_soc rxsoc rphy_clav rxclav /rphy_en rxenb* rphy_clk rxclk figure 64. tutopia sphy timing d1 d2 d3 thd tsu tdc tdc tq tq tq rphy_clk(i) rphy_clav(o) rphy_soc(o) rphy_data(o) /rphy_en(i)
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  6.3.3 tutopia as the phy layer device in multi-phy (mphy) mode the tutopia block functions as a receive utopia block when in mphy mode. the receive mphy utopia timing signals are compatible with the atm forum utopia level 2 mphy specification. table 18 on page 98 indicates the aal1gator ii receive mphy utopia signal names and their corresponding utopia designations. the aal1gator ii will not drive rphy_ clav unless /rphy_addr was low the previous cycle. the value driven is dependent on whether or not the aal1gator ii has a complete cell to send. rphy_data and rphy_soc are tristate, except for the cycles following ones in which /rphy_en is active and the aal1gator ii was selected. the aal1gator ii is selected only if on the falling edge of /rphy_en, /rphy_ addr is low. rphy_soc indicates the start of a new cell. rphy_clav goes inactive one cycle after the last data byte has been output if /rphy_addr is low at the time. figure 65 shows the data output timing for the tutopia block in mphy mode. the timing parameters used in figure 65 are defined in the table following the figure. all output timing delays assume a capaci- tive loading of 50 pf. refer to section 3.4 transmit utopia interface block (tutopia) on page 41 for additional information. symbol parameter signal min max unit rphy_clk frequency 33 mhz tdc rphy_clk duty cycle 45 55 % thd rphy_clk hold time /rphy_en 1 ns tq rphy_clk-to-output delay rphy_clav, rphy_soc 2 12 ns tsu rphy_clk setup time /rphy_en 5 ns tq rphy_clk-to-output delay rphy_data 2 13 ns figure 65. tutopia mphy timing d1 d2 d3 tq tq tq tsu thd tdc tdc rphy_clk(i) /rphy_addr(i) rphy_clav(o) rphy_soc(o) rphy_data(o) /rphy_en(i)
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  . 6.4 receive utopia timing 6.4.1 rutopia as the atm layer device the receive atm utopia timing signals are compatible with the utopia level 1 byte-by-byte specification (refer to appendix b, references, on page 203 ). table 19 indicates the receive utopia signal names and their corresponding utopia designations. the device will not assert /ratm_en unless it can receive an entire cell without needing to deassert the /ratm_en sig- nal. refer to section 3.5 receive utopia interface block (rutopia) on page 44 for addi- tional information. if the receive fifo will become full after the aal1gator ii reads the current cell, and /ratm_ empty is asserted during byte 51 through byte 53 of that cell, the aal1gator ii will toggle its /ratm_en until those bytes become available from the external device, or the other cell in the external receive fifo is read. since the read data is pipelined, this action ensures the internal receive fifo will not overflow. symbol parameter signal min max unit rphy_clk frequency 33 mhz tdc rphy_clk duty cycle 45 55 % thd rphy_clk hold time /rphy_addr, /rphy_en 1 ns tq rphy_clk-to-output delay rphy_clav, rphy_soc 2 12 ns tsu rphy_clk setup time /rphy_addr, /rphy_en 5 ns tq rphy_clk-to-output delay rphy_data 2 13 ns table 19. receive signal names and corresponding utopia designations signal name utopia name ratm_data rxdata ratm_soc rxsoc /ratm_en rxenb* /ratm_empty rxempty* ratm_clk rxclk
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  figure 66 illustrates the receive atm utopia timing. 6.4.2 rutopia as the phy layer device in single-phy (sphy) mode the rutopia block functions as a transmit utopia block when in sphy mode. the transmit sphy utopia timing signals are compatible with the utopia level 1 cell-level hand-shaking specification (refer to appendix b, references, on page 203 ). table 20 indicates the transmit utopia signal names and their corresponding utopia designations. the device will not assert tphy_clav unless it can receive an entire cell. when ready, the device will accept data for any cycle that /tphy_en is active. if the current cell will fill the internal fifo, then tphy_clav will be deactivated the same cycle it is receiving the 49th byte of data. figure 67 shows the data input timing for the rutopia block in sphy mode. the timing parameters used in figure 67 are defined in the table following the figure. refer section 3.5 receive utopia interface block (rutopia) starting on page 44 for additional information. figure 66. receive utopia atm timing symbol parameter signals min max unit fc clock frequency ratm_clk 33 mhz tdc clock duty cycle ratm_clk 45 55 % tsu clock setup /ratm_empty, ratm_data, ratm_soc 5 ns th clock hold /ratm_empty, ratm_data, ratm_soc 1 ns tq clock-to-output delay /ratm_en 2 12 ns table 20. transmit signal names and corresponding utopia designations signal name utopia name /tphy_addr txaddr tphy_data txdata tphy_soc txsoc tphy_clav txclav /tphy_en txenb* tphy_clk txclk tsu th fc tdc tdc fc tq 12 4 6 8 357 ratm_clk(i) /ratm_empty(i) ratm_data(i) ratm_soc(i) /ratm_en(o)
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  6.4.3 rutopia as the phy layer device in multi-phy (mphy) mode the rutopia block functions as a transmit utopia block when in mphy mode. the transmit mphy utopia timing signals are compatible with the utopia level 2 mphy specification (refer to appendix b, references, on page 203 ). table 20 on page 101 indicates the transmit utopia signal names and their corresponding utopia designations. the aal1gator ii will not drive tphy_clav unless /tphy_addr was low the previous cycle. the value driven will be dependent on whether or not it has room for a complete cell. tphy_data and tphy_soc are captured when /tphy_en is active and the aal1gator ii was selected. the aal1gator ii is selected only if /tphy_addr is low and /tphy_en is high during one clock cyle, and /tphy_ en is asserted low in the following clock cycle. tphy_soc indicates the start of a new cell. if the current cell will fill the internal fifo, then tphy_clav will be deactivated the same cycle it is receiving the 49th byte of data if /tphy_addr is low on the previous cycle. figure 68 figure 67. rutopia sphy timing symbol parameter signal min max unit tphy_clk frequency 33 mhz tdc tphy_clk duty cycle 45 55 % thd tphy_clk hold time tphy_data, tphy_soc, /tphy_en 1ns tq tphy_clk-to-output delay tphy_clav 12 ns tsu tphy_clk setup time tphy_data, tphy_soc, /tphy_en 5 ns d1 d2 d3 d4 tdc tdc tsu thd tq tphy_clk(i) tphy_clav(o) tphy_data(i) tphy_soc(i) tphy_en(i)
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  shows the data input timing for the rutopia block in mphy mode. the timing parameters used in figure 68 are defined in the table following the figure. refer to section 3.5 receive utopia interface block (rutopia) starting on page 44 for additional information. 6.5 ram and microprocessor timing 6.5.1 ram timing the ram interface is designed to work with 12 ns srams, which have a write data setup time of 7 ns or less, when sys_clk is 40 mhz (the maximum frequency). this interface is asynchro- nous and the timing parameters are given in this section. if the interface is used at a lower fre- quency, equations have been provided to calculate the ram interface timing parameters. the timing is very dependent on the pulse width of sys_clk. the /mem_we signals are derived from the high pulse width of the sys_clk input. the high pulse width affects the pulse width of /mem_we and the setup and hold time of mem_data to the rising edge of /mem_we. refer to section 8.6 board requirements for the sram interface on page 174 for impor- tant information about interfacing to different speed srams. figure 68. rutopia mphy timing symbol parameter signal min max unit tphy_clk frequency 33 mhz tdc tphy_clk duty cycle 45 55 % thd tphy_clk hold time /tphy_addr, tphy_data, tphy_soc, /tphy_en 1ns tq tphy_clk-to-output delay tphy_clav 12 ns tsu tphy_clk setup time /tphy_addr, tphy_data, tphy_ soc, /tphy_en 5ns d1 d2 d3 d4 tq tsu thd tdc tdc tphy_clk(i) /tphy_addr(i) tphy_clav(o) tphy_data(i) tphy_soc(i) /tphy_en(i)
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  figure 69 shows the timing information for all aal1gator ii-initiated ram write cycles. figure 69. ram write cycle timing symbol parameter signals min max unit twc write cycle /mem_cs tp-2 ns twdh write data hold mem_data tp-tch-10 ns twdsu write data setup mem_data tch - 4.3 ns twh write hold /mem_cs, mem_addr, /mem_we tp -tch -10 ns twsu write setup mem_addr, /mem_cs 1 ns twp write pulse width /mem_we tch-1.3 tch+0.3 ns notes: ? tch and tp are the clock high time and clock period as measured at 1.5 v. see figure 80 on page 119 and refer to section 8.6 board requirements for the sram interface on page 174 . ? test conditions are: /mem_we(0) and /mem_we(1) at 15 pf; and mem_data, sp_ data_en, sp_data_clk, sp_data_dir, and /mem_cs at 30 pf; mem_addr and sp_addr_en at 40 pf. ? all outputs are measured at 1.5 v, -40 to 85 c, 4.75 - 5.25 v. twh twc twsu twc twp twp twdsu twdh mem_addr(io) /mem_cs(o) /mem_we(o) mem_data(io)
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  figure 70 shows the timing information for all ram read cycles. 6.5.2 microprocessor timing microprocessor accesses are controlled by the aal1gator ii. to properly access the aal1gator ii and the external memory, the aal1gator ii must be supported with a tristatable address buffer and a bidirectional tristatable data latch. 6.5.2.1 microprocessor ram write cycle timing figure 71 on page 107 shows the timing for a microprocessor-initiated write cycle. it shows the 2-cycle write operation occurring immediately after a non-processor read cycle. ram writing is not honored if higher priority internal functions request the memory, or the holdoff from a previ- ous microprocessor transfer has not expired. /proc_cs and /proc_wr are double sampled (1 and 2) with the rising edge of sys_clk, and at (3) addr17 is sampled to distinguish between a command register write and a ram write. figure 70. ram read cycle timing symbol parameter signals min max unit toe ram output enable delay mem_data 0 7 ns trc read cycle /mem_cs tp-2 ns trdacc read access time mem_data, /mem_cs, /mem_ addr 16 + tsc ns notes: ?tsc = (1 ? fc) - (1 ? 38.87 mhz). for fc and tp values, see figure 80 on page 119 . ? test conditions are: /mem_we(0) and /mem_we(1) at 15 pf; and mem_data, sp_data_en, sp_ data_clk, sp_data_dir, and /mem_cs at 30 pf; mem_addr and sp_addr_en at 40 pf. ? all outputs are measured at 1.5 v, -40 to 85 c, 4.75 - 5.25 v. trc trdacc trc toe trdacc trdacc /mem_cs(o) /mem_oe(o) mem_addr(io) mem_data(io) /mem_we(o)
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  as long as holdoff is not high, /sp_add_en, /sp_data_en, and sp_data_dir are acti- vated at the next clock cycle (3) allowing the microprocessor address and data to pass through the address and data buffer to the ram. to minimize bus conflicts when the microprocessor access follows an aal1gator ii-initiated access, /sp_add_en and /sp_data_en signals are delayed. at the next rising edge of sys_clk (4), /mem_cs and /mem_we are activated. the /mem_ we is delayed to provide sufficient setup time for /mem_cs and mem_data. at the following clock cycle (5), /proc_ack is activated and /sp_data_en, /sp_add_en, and /mem_cs are deactivated. the relative skew of these signals guarantees sufficient hold time. to eliminate bus contention, a recovery cycle is inserted between the microprocessor access and any subsequent access. /proc_ack is held active until /proc_cs is deactivated. cycles (1) and (2) are grouped together in figure 71 for the sake of convenience. these are nor- mally two separate clock cycles. note: the timing characteristics (indicated by asterisks in the table following figure 71) are based on external component requirements.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  figure 71. microprocessor ram write cycle timing symbol parameter signals min max unit taa (see note below) acknowledge assertion after /proc_ cs or /proc_wr; whichever comes last /proc_ack 5 29 sys_clk periods tasu address setup to write pulse* mem_addr, /mem_we 10 ns tcea /proc_cs deassertion to /proc_ack deassertion /proc_ack 2 15 ns twd write pulse delay /mem_we 8 25 ns tdsu data setup to write pulse off* mem_data 15 ns tded data enable delay /sp_data_en 7 25 ns taed address enable delay /sp_add_en 5 20 ns tq clock-to-output delay sp_data_dir 2 15 ns tddh taed taed tded tded tcea taa tq twd tdsu twd tasu tah tdh tasu17 non_proc read cycle proc write cycle recovery cycle non_proc cycle 3 4 5 1, 2 sys_clk proc_add proc_data /proc_cs(i) /proc_wr(i) addr17(i) mem_addr(i) mem_data(io) /mem_cs(o) /mem_we(o) /mem_oe(o) /proc_ack(i) /sp_data_en(o) /sp_add_en(o) sp_data_dir(o)
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  note: taa is dependent on the holdoff signal. if holdoff is not asserted when the access begins, taa will be a maximum of five sys_clk periods. if the access occurs immediately after another access, then taa will be 23 to 29 sys_clk peri- ods. refer to section 6.5.3 microprocessor holdoff timing on page 116 for a description of the holdoff activity. 6.5.2.2 microprocessor ram read cycle timing figure 72 on page 109 shows the timing for a microprocessor-initiated read cycle. it shows the two-cycle read operation occurring immediately after a non-microprocessor write cycle. ram reading is not honored if higher priority internal functions request the memory, or the holdoff from a previous microprocessor transfer has not expired. for processor read operations, /sp_ data_en goes active when both /proc_cs and /proc_rd are active. /proc_cs and /proc_rd are double sampled (1 and 2) at the rising edge of sys_clk; and at (3) addr17 is sampled to distinguish between a command register read and a ram read. the /sp_add_en is activated at the next clock cycle (3), as long as holdoff is not high, to allow the microprocessor address to pass through to the ram. also /mem_cs and /mem_oe are activated to enable the ram. in this case, /mem_cs was already active due to the access from the previous cycle. sp_data_dir remains high, allowing ram data to pass through to the processor. at this time, sp_data_clk also goes low in preparation of going high in a few cycles to latch the ram data into the data latch. the /sp_add_en is delayed to minimize bus conflicts when the microprocessor access follows a non-microprocessor access. the control sig- nals remain constant through the next clock cycle (4) allowing the read data to stabilize. in the following clock cycle (5), /sp_add_en is deactivated and sp_data_clk goes high, which clocks the ram data into the data latch. the skew of /sp_add_en guarantees sufficient hold time for the data to be latched. in the following clock cycle (6), /mem_cs and /mem_oe are deactivated and /proc_ack is activated. tq clock-to-output delay /mem_cs 2 18 ns tq clock-to-output delay /proc_ack 2 18 ns tah address hold time* mem_addr, /sp_add_en 5 ns tdh data hold time* mem_data, /sp_data_en 5 ns tddh data direction delay hold time /sp_data_en, sp_data_dir 10 ns tasu17 address setup to sys_clk addr17 2 ns * these parameters are dependent on external components and assume that the requirements from table 26 on page 195 are met. symbol parameter signals min max unit
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  to eliminate conflicts for this access sequence, a recovery cycle is inserted between the micropro- cessor access and any subsequent access. /proc_ack is driven until /proc_cs is deactivated. /sp_data_en is driven until either /proc_cs or /proc_oe are deactivated. cycles (1) and (2) are grouped together in figure 72 for the sake of convenience. these are nor- mally two separate clock cycles. note: the timing characteristics (indicated by asterisks in the table following figure 72) are based on external component requirements. figure 72. microprocessor ram read cycle timing symbol parameter signals min max unit taa (refer to note below) acknowledge assertion after /proc_cs or /proc_rd; whichever comes last /proc_ack 5 29 sys_clk periods tzen time from z state to enable mem_data, /mem_oe 1** ns tzen tq taed tded tded tcea taa tq tqmoe tq tdh tasu tq tah tcaen tzsu tdsu tasu17 proc rd cycle recovery cycle non-proc cycle non_proc wr cycle 1, 2 3 4 5 6 sys_clk proc_add proc_data /proc_cs(i) /proc_rd(i)i) addr17(i) /proc_ack(o) /sp_data_en(o) /sp_add_en(o) sp_data_dir(o) sp_data_clk(o) mem_addr(i) mem_data(io) /mem_cs(o) /mem_oe(o) /mem_we(o)
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  note: taa is dependent on the holdoff signal. if holdoff is not asserted when the access begins, taa will be a maximum of six sys_clk periods. if the access occurs immediately after another access, then taa will be 24 to 30 sys_clk periods. refer to section 6.5.3 microprocessor holdoff timing on page 116 for a description of the holdoff activity. 6.5.2.3 microprocessor write command register timing figure 73 on page 112 shows the write command register timing. writing to the internal com- mand register is not honored if higher priority internal functions request the memory, or if the holdoff from a previous microprocessor transfer has not expired. /proc_cs and /proc_wr are double sampled (1 and 2) at the rising edge of sys_clk, and at (3) addr17 is sampled to distinguish between a command register write and a ram write. as long as holdoff is not high, /sp_add_en, /sp_data_en, and sp_data_dir are acti- vated at the next clock cycle (3), allowing the microprocessor address and data to pass through the address and data buffer to the aal1gator ii. the /sp_add_en and the /sp_data_en signals are delayed to minimize bus conflicts when the microprocessor access follows an tq clock-to-output delay sp_data_clk,/mem_oe (deactivate) 215 ns tq clock-to-output delay /mem_cs 2 18 ns tqmoe clock-to-output delay for activation of /mem_oe mem_oe (activate) 2 25 ns tasu17 address setup to sys_clk addr17 2 ns taed address enable delay /sp_add_en 5 20 ns tasu address setup to sp_data_clk* mem_addr, sp_data_clk 26 ns tded data enable delay from /proc_cs and /proc_rd /sp_data_en, /proc_cs, /proc_rd 2** 15** ns tcea /proc_cs deassertion to /proc_ ack deassertion /proc_ack 2 15 ns tdsu data setup to sp_data_clk* mem_data 11 ns tdh data hold from sp_data_clk* mem_data, sp_data_clk 3 ns tcaen sp_data_clk high to /sp_add_en high sp_data_clk, /sp_add_en 0 ns tah mem_addr hold time from sp_ data_clk* mem_add, sp_data_clk 1 ns tzsu z state setup-to-clock mem_addr 3** ns * these parameters are dependent on external components and assume that the requirements from table 26 on page 195 are met. ** these parameters are typical only. symbol parameter signals min max unit
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  aal1gator ii-initiated access. since all address bits, except addr17, are ignored for command register write operations (unless proc_test_access is set ( refer to (reserved) on page 165 )), the timing of the lower 16 address bits is not critical for this operation and is not shown. internally, a delayed version of sys_clk is used to latch the data. since this internal clock is not visible on the outside, no setup or hold times are given. as long as the output enable and output disable delay of the external data buffer meet the parameters in the following table, the setup and hold time of the internal clock will be met. at the following clock cycle (4), /proc_ack is activated and /sp_data_en and /sp_add_ en are deactivated. the relative skew of these signals guarantees sufficient hold time. to elimi- nate bus contention, a recovery cycle is inserted between the microprocessor access and any sub- sequent access. /proc_ack is held active until /proc_cs is deactivated. cycles (1) and (2) are grouped together in figure 73 for the sake of convenience. these are nor- mally two separate clock cycles. note: the timing characteristics (indicated by asterisks in the table following figure 73) are based on external component requirements.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  figure 73. microprocessor write command register timing tcea taa tq tq tded tded tq tasu17 non-proc rd cycle recovery cycle non_proc cycle cmdreg wr cycle 1, 2 3 4 sys_clk proc_add proc_data /proc_cs(i) /proc_wr(i) mem_addr(i) mem_data(io) addr17(i) /proc_ack(i) /sp_data_en(o) /sp_add_en(o) sp_data_dir(o) /mem_cs(o) /mem_we(o) /mem_oe(o)
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  note: taa is dependent on the holdoff signal. if holdoff is not asserted when the access begins, taa will be a maximum of four sys_clk periods. if the access occurs immediately after another access, then taa will be 23 to 29 sys_clk peri- ods. refer to section 6.5.3 microprocessor holdoff timing on page 116 for a description of the holdoff activity. 6.5.2.4 microprocessor read command register timing figure 74 on page 115 shows the read command register timing. four sys_clk cycles are required to read the internal command register. however, the read operation is not honored if higher priority internal functions request the memory, or the holdoff from a previous micropro- cessor transfer has not expired. the /proc_cs and /proc_rd signals are double sampled (1 and 2) at the rising edge of sys_ clk, and at (3) addr17 is sampled to distinguish between a command register read and a ram read. for microprocessor read operations, /sp_data_en goes active when both /proc_cs and /proc_rd are active, allowing data to pass through the data buffer to the microprocessor. as long as holdoff is not high, /sp_add_en is activated at the next clock cycle (3) allowing the microprocessor address to pass through the address buffer to the aal1gator ii and sp_ data_clk is also driven low. /sp_add_en is delayed to minimize bus conflicts when the microprocessor access follows an aal1gator ii-initiated access. since all address bits, except for addr17 are ignored for command register read operations (unless proc_test_access is set), the timing of the lower 16 address bits is not critical for this operation and is not shown. at the following clock cycle (4), /sp_add_en is deactivated but /sp_data_en remains active. at clock cycle (5) the aal1gator ii begins driving valid data that is latched into the data latch in cycle (6) when sp_data_clk is driven high. symbol parameter signals min max unit taa (refer to note below) acknowledge assertion after /proc_ cs or /proc_wr; whichever occurs last /proc_ack 5 29 sys_clk periods tasu17 address setup to sys_clk addr17 2 ns tcea /proc_cs deassertion to /proc_ ack deassertion /proc_ack, /proc_cs 2 15 ns tded data enable delay from sys_clk /sp_data_en, sys_clk 7 25 ns tq clock-to-output delay sp_data_dir 2 15 ns tq clock-to-output delay /proc_ack 2 18 ns
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  at the following clock cycle (7), /proc_ack is activated and mem_data is deactivated. a recovery cycle is inserted between the microprocessor access and any subsequent access to elimi- nate bus contention. /proc_ack is held active until /proc_cs is deactivated. /sp_data_en is held active until /proc_cs or /proc_rd is deactivated to ensure the microprocessor reads the data. cycles (1) and (2) are grouped together in figure 74 for the sake of convenience. these are nor- mally two separate clock cycles. note: the timing characteristics (indicated by asterisks in the table following figure 74) are based on external component requirements.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  figure 74. microprocessor read command register timing tq tded tded tcea taa tdhld tdsu tasu17 trd trd non_proc write cycle 3 1, 2 4 5 6 7 cmdreg rd cycle sys_clk proc_add proc_data /proc_cs(i) /proc_rd(i) /sp_add_en(o) mem_add(i) addr17(i) mem_data(io) /proc_ack(i) /sp_data_en(o) sp_data_dir(o) sp_data_clk(o) /mem_cs(o) /mem_we(o) /mem_oe(o)
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  note: taa is dependent on the holdoff signal. if holdoff is not asserted when the access begins, taa will be a maximum of seven sys_clk periods. if the access occurs immediately after another access, then taa will be 23 to 29 sys_clk peri- ods. refer to section 6.5.3 microprocessor holdoff timing for a description of holdoff activity. 6.5.3 microprocessor holdoff timing figure 75 on page 116 shows the microprocessor holdoff timing. after the microprocessor accesses the aal1gator ii, the holdoff circuit prevents the microprocessor from obtaining another back-to-back access for 20 sys_clk cycles. however, holdoff does not apply while sw_reset, in the command register is set. the aal1gator ii holdoff counter is activated after every processor access that occurs while the device is not in the reset state. the holdoff counter counts up to 20 and then freezes at that count. microprocessor accesses are not honored unless this count has completed. symbol parameter signals min max unit taa (refer to note below) acknowledge assertion after /cs or /rd, whichever comes last /proc_ack 5 29 sys_clk periods tasu17 address setup time mem_addr 2 ns trd read operation time 4 4 sys_clk periods tq clock-to-output delay sp_data_clk 2 15 ns tded data enable delay from /mem_ cs and /mem_rd /sp_data_en, /mem_cs, /mem_rd 215 ns tdsu data setup to sp_data_clk mem_data 15 ns tdhld data hold from sp_data_clk mem_data 15 ns tcea /proc_cs deassertion to /proc_ack deassertion /proc_ack 2 15 ns figure 75. microprocessor holdoff timing thoff /proc_cs(i) holdoff(o)
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  6.6 interrupt timing the proc_int goes high when an oam cell has been received and the oam_int_mask bit in cmdreg is cleared. proc_int goes inactive when the clr_rx_oam_latch bit is set in cmdreg or the mask bit is set. see figure 77 for interrupt timing. figure 76. microprocessor output delay timing symbol parameter signals min max unit thoff holdoff time holdoff 20 20 sys_clk periods tq clock-to-output delay holdoff 2 16 ns figure 77. interrupt timing symbol parameter signals min max unit tq clock-to-output delay sys_clk, proc_intr 2 17 ns tmsk clear intr due to mask proc_cs, proc_intr 1 1 sys_clk cycles tclr clear intr due to clear latch bit proc_cs, proc_intr 2 2 sys_clk cycles tq sys_clk holdoff tmsk tq tclr sys_clk /proc_cs /proc_wr proc_intr
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  6.7 srts timing the srts interface timing requirements for the low-speed and high-speed interfaces are shown respectively in figure 78 and figure 79. low-speed srts timing is for udf-ml, sdf-fr, and sdf-mf modes; and high-speed srts timing is used for udf-hs mode. figure 78. low-speed srts timing symbol parameter signals min max unit tq clock-to-output delay srts_line, srts_dout, srts_strb, adap_srtb 219 ns figure 79. high-speed srts timing symbol parameter signals min max unit tq clock-to-output delay srts_dout, srts_strb 18 ns tsu data setup srts_dout 1 1 n_clk cycle thld data hold srts_dout 1 1 n_clk cycle tq tq tq sys_clk srts_strb adap_strb srts_line srts_dout tsu thld tq tq tq n_clk srts_dout srts_strb
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  6.8 miscellaneous timing 6.8.1 sys_clk timing figure 80 shows the timing for the sys_clk signal. 6.8.2 reset timing figure 81 shows the timing for the reset signal. figure 80. sys_clk timing symbol parameter signals min max unit fc sys_clk frequency sys_clk 40.00 mhz tch sys_clk high time sys_clk ** ns tcl sys_clk low time sys_clk ** ns tp sys_clk period sys_clk 25.7 ns tdc sys_clk duty cycle sys_clk ** ** % ttol sys_clk tolerance sys_clk* 50 ppm notes: ? the 8-line throughput is guaranteed only at fc minimum of 38.87 mhz. for each line that is not used, the minimum frequency can be decreased by 4.5 mhz, if srts is not used. ? *if tl_clk synthesis is not used, this value can be relaxed to 200 ppm. ? ** the sys_clk pulse width and duty cycle is dependent on frequency, and external component selection. refer to section 8.6 board requirements for the sram interface on page 174 for more detailed requirements. figure 81. reset timing fc fc tcl tcl tch tch ttol tp tp tdc ttol tdc sys_clk tres tres trec /reset(i) /proc_cs
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  6.8.3 jtag timing figure 82 provides timing information regarding the jtag port. figure 82. jtag timing symbol parameter signals min max unit tres reset assertion time /reset minimum /reset pulse width must be more than three clock times of the slowest active rl_clk, active tl_clk, or sys_clk. trec reset recovery time /reset minimum /reset recovery time must be more than three clock times of the slowest active rl_clk, active tl_clk, or sys_clk. symbol parameter signals min max unit fc scan_tclk frequency scan_tclk 5 mhz tch scan_tclk high period scan_tclk 80 ns tcl scan_tclk low period scan_tclk 80 ns tjh scan_tclk hold time scan_tms, scan_tdi 40 ns tjres /scan_trst low /scan_trst 80 ns tjsu scan_tclk setup time scan_tms, scan_tdi 40 ns tqj scan_tclk-to-output delay /scan_trst-to-output delay scan_tdo 2 40 ns tjres tjres fc tch fc tjsu tjh tcl tcl tch tqj tqj scan_trstn(i) scan_tclk(i) scan_tms(i) scan_tdi(i) scan_tdo(o)
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  7 control registers and data structures 7.1 general the initial programming for the aal1gator ii is performed by loading the external memory with specified information while a sw_reset (refer to sw_reset on page 165 ) is applied. the sw_reset state is entered after a hardware reset is removed, or it can be asserted by writing the command register. after the memory is initialized, the cmd_reg_attn bit (refer to cmd_ reg_attn on page 166 ) should be set so the configuration data can be read. then sw_ reset can be removed. the device then reads the data structures from memory and enters the correct operating mode. word data structures have the first byte located at the low-byte end of the bus, which is also the location of the even data bytes (little endian implementation). since there are 128k words of memory and the memory itself is only 16 bits, complete byte pointers cannot be stored in 1-word memory locations. to achieve 1-word pointers, all structures except the receive multiframe buffers are stored in the first half of the memory. the receive buffers, which occupy 64k words, are the only structures located in the second half of the memory. figure 83 on page 122 shows the distribution of the data structures within the aal1gator ii. all registers except cmdreg are stored in the sram and all are readable.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  figure 83. transmit and receive data structures r_cond_sig t_seqnum_tbl oam oam vc=253 vc=254 vc=255 ? ? ? ? ? ? ? ? ? device_rev comp_lin_reg lin_str_mode_0 ??? lin_str_mode_7 control registers t_add_queue math_tbl t_cond_sig t_cond_data t_signaling_buffer t_data_buffer vc=0 vc=1 vc=3 t_queue_tbl t_oam_queue 0 7 0 31 0 7 0 31 0 7 0 7 p_fill_char queue_config counts tx_head que_credits t_channel_alloc csd_config 0 255 vc=253 r_oam_queue (256 cell buffers) 0 1 2 255 oam_head oam_tail r_oam_queue_tbl r_oam counts r_srts_cdvt_0 r_srts_cdvt_7 r_srts_queue 256 srts nibbles r_crc_syndrome r_ch_to_queue_tbl 31 0 r_cond_data 31 0 31 0 0 7 0 7 7 0 r_srts_config ? ? ? 31 0 7 0 r_sig_buffer ? ? ? ? ? ? ? r_queue_tbl r_data_buffer vc=0 vc=1 vc=2 vc=3 vc=255 vc=254 vc=252 ? ? ? ? ? ? ? ? vc=2 vc=252 0 7 0 7 t_channel_left idle_config r_tot_left r_sn_config r_chan_alloc statistics r_tot_size r_data_last r_line_state r_max_buf counts r_mp_config r_state_0 r_state_1
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  7.2 initialization the memory must be initialized to 0 (unless otherwise indicated) before the software reset is released. the number of data structures used by the device in reserved areas depends on this initialization. 7.3 control registers summary 7.4 control register descriptions notes: ? all ports marked as reserved must be initialized to 0 at initial setup. software mod- ifications to these locations after setup will cause incorrect operation. ? all read/write port bits marked not used must be written with the value 0 to main- tain software compatibility with future versions. table 21. control register summary address name r/w organization amount of memory description 0 0000 h device_rev r/w 1 word 2 bytes the device revision register indicates the revision of the device. 0 0001 h comp_lin_reg r/w 1 word 2 bytes the composite line register provides overall mode information. 0 0010 h lin_str_mode_0 r/w 1 word 2 bytes the line structure mode register identifies which data structure type will be supported for each line. this is selectable on a line basis. 0 0011 h lin_str_mode_1 r/w 1 word 2 bytes 0 0012 h lin_str_mode_2 r/w 1 word 2 bytes 0 0013 h lin_str_mode_3 r/w 1 word 2 bytes 0 0014 h lin_str_mode_4 r/w 1 word 2 bytes 0 0015 h lin_str_mode_5 r/w 1 word 2 bytes 0 0016 h lin_str_mode_6 r/w 1 word 2 bytes 0 0017 h lin_str_mode_7 r/w 1 word 2 bytes
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  ? all read-only port bits marked not used are driven with a 0 and should be masked off by the software to maintain compatibility with future versions. 7.4.1 device_rev organization: 1 word base address: 0 h type: read/write hardware reset value: undefined. function: stores the device revision. format: refer to the following table. field (bits) description device_rev (15:0) this register is undefined until the microprocessor asserts cmd_reg_attn, at which time the aal1gator ii writes it with 121a h . the revision of the device. this field should be initialized to 0.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  7.4.2 comp_lin_reg organization: 1 word base address: 1 h type: read/write function: stores the global configuration. format: refer to the following table. note: to operate lines in the device in udf-ml mode, set the t1_mode bit of this regis- ter to 0 or to 1 as appropriate. this will allow other lines to operate in the desired t1 or e1 mode. then, in the lin_str_mode register (refer to section 7.4.3 lin_ str_mode on page 126 ), set the fr_struct field of each line to 10. field (bits) description reserved (15:14) initialize to 0. shift_vci (13) selects the vci address range. 0 will use vci(7:0) as the queue number if vci(8) = 1. 1 will use vci(11:4) as the queue number if vci(12) = 1. reserved(ct) (12) write with a 0 to maintain future software compatibility. not used (11:7) write with a 0 to maintain future software compatibility. mixed_mode_en (6) enables each line to operate in a different mode. 0 all lines use the mode defined by t1_mode. 1 device is in mixed mode. line_mode bits are enabled in lin_str_ mode register. initialize to the proper value. not used (5) write with a 0 to maintain future software compatibility. sphy_en (4) an active high signal that enables single phy utopia mode. this signal is valid only when phy_enable input is tied high. not used (3) write with a 0 to maintain future software compatibility. udf_hs (2) line 0 is in the udf-hs mode. 0 disables the udf-hs (t3/e3) mode. 1 enables the udf-hs (t3/e3) mode. if this mode is selected, the t_ queue_tbl and r_queue_tbl entry index 0 are used. initialize to the proper value. reserved (1) initialize to 0. t1_mode (0) the device is in the t1 mode. 0 device is in e1 mode. 1 device is in t1 mode. initialize to the proper value.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  7.4.3 lin_str_mode organization: eight words. base address: 10 h index: 1 h type: read/write function: stores the per-line configuration. format: refer to the following table. offset name description 0 h lin_str_mode_0 line structure mode for line 0. 1 h lin_str_mode_1 line structure mode for line 1. 2 h lin_str_mode_2 line structure mode for line 2. 3 h lin_str_mode_3 line structure mode for line 3. 4 h lin_str_mode_4 line structure mode for line 4. 5 h lin_str_mode_5 line structure mode for line 5. 6 h lin_str_mode_6 line structure mode for line 6. 7 h lin_str_mode_7 line structure mode for line 7. field (bits) description hs_tx_cond (15) send cells with all 1s when in high-speed mode (line 0 only). hs_rx_cond (14) fetch receive conditioning data from the r_cond_data buffer for line 0, channels 0 and 1. high-speed mode (line 0 only). t1_mode (13) determines mode of the line. this bit is valid only if the mixed_mode_en bit in the comp_lin_reg is set. 1 line is in t1 mode. 0 line is in e1 mode. e1_with_t1_sig (12) enables t1 signaling while in e1 mode for this line. signaling is updated every 24 frames instead of every 16 frames. this bit is valid only when the line is in e1 sdf-mf mode. aal1 cell structures contain a signaling nibble every 25 bytes instead of every 17 bytes per single ds0. 1 use t1 signaling. 0 use e1 signaling. not used (11:6) write with a 0 to maintain future software compatibility. clk_source (5:4) selects tl_clk source. this value will override the setting defined by the tlclk_ output_en input. if switching from an external to an internal clock or visa versa, make sure there are not two clocks driving simultaneously. 00 use external clock. (tl_clk is an input). 01 looped - use rl_clk as the clock source. 10 nominal - generate a clock of the nominal (t1 or e1) frequency from sys_clk. 11 srts - generate a clock frequency based on the received srts values. not used (3) write with a 0 to maintain future software compatibility.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  7.5 transmit data structures summary srts_en (2) enable srts for this line. (assert only for udf-ml or udf-hs). 1 the insertion of the transmit srts bits is enabled for this line. 0 the csi bits of the odd transmit aal1 cells are set to 0. fr_struct (1:0) frame structure mode. 11 enables sdf-mf. signaling information is preserved. 01 enables sdf-fr. no signaling information is preserved. 10 enables udf, clear channel, no channelization, no signaling. if this mode (udf-ml) is selected, the t_queue_tbl and r_queue_tbl entries used are found at index = 32 line. for example, line 3 uses t_queue_ tbl and r_queue_tbl entry 96. 00 not used. initialize to the proper value. table 22. transmit data structures summary name r/w organization amount of memory address description p_fill_char r/w 1 word 2 bytes 0 0004 h the empty bytes in a partially filled cell are filled with p_fill_char. t_add_queue r/w 16 words 32 bytes 0 0030 h - 0 003f h bit table of queues to be added to the calendar queue after a csd_attn assertion. t_seqnum_tbl r/w 16 words 32 bytes 0 0020 h - 0 002f h the transmit sequence number table is initialized according to a table. t_cond_sig r/w 32 bytes 8 lines 256 bytes 0 0400 h - 0 047f h this table stores the signaling to be used when the tx_cond bit in the t_ queue_tbl is set. t_cond_data r/w 32 bytes 8 lines 256 bytes 0 0480 h - 0 04ff h this table stores the data to be used when the tx_cond bit in the t_queue_tbl is set. reserved r/w 256 words 512 bytes 0 0700 h - 0 07ff h reserved (frame advance fifo). reserved r/w 8 128 2 words 4 kbytes 0 0800 h - 0 0fff h reserved (transmit calendar). reserved r/w 8 256 bytes 2 kbytes 0 1000 h - 0 13ff h reserved (transmit signaling buffer). t_oam_queue r/w 2 32 words 128 bytes 0 1400 h - 0 143f h the transmit oam queue contains the oam cells to be transmitted. t_queue_tbl r/w 256 32 words 16 kbytes 0 2000 h - 0 3fff h the transmit queue table contains all pointers and variables that are queue-dependent. reserved r/w 8 2 k words 32 kbytes 0 4000 h - 0 7fff h reserved (transmit data buffer). math_tbl r/w 8 k words 16 kbytes 0 c000 h - 0 dfff h the math table is initialized from formulas. field (bits) description
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  7.6 transmit data structures descriptions note: ? all ports marked as reserved must be initialized to 0 at initial setup. software mod- ifications to these locations after setup will cause incorrect operation. ? all read/write port bits marked not used must be written with the value 0 to main- tain software compatibility with future versions. ? all read-only port bits marked not used are driven with a 0 and should be masked off by the software to maintain compatibility with future versions. 7.6.1 p_fill_char organization: one word base address: 4 h type: read/write function: contains the fill character for partially filled cells. format: refer to the following table. 7.6.2 t_add_queue organization: 16 words base address: 30 h index: 2 h type: read/write function: bit table of queues to be added to the calendar queue. to add queues, set the proper bits in this table to 1. ensure all other bits in this table are set to 0, then assert csd_attn in the cmdreg. this table will be scanned in its entirety after the csd_ attn is detected. bits left asserted from earlier add activities will be added back in with undesirable results. initialization: initialize to 0. field (bits) description not used (15:8) write with a 0 to maintain future software compatibility. p_fill_char (7:0) character used in partially filled cells. initialize to the desired value. offset description 0 h queues 15:0 (line 0) 1 h queues 31:16 (line 0) 2 h queues 47:32 (line 1) 3 h queues 63:48 (line 1) 4 h queues 79:64 (line 2)
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  7.6.3 t_seqnum_tbl organization: 16 words base address: 20 h index: 1 h type: read/write function: stores all possible first bytes in the payload: csi, sn, and snp. this table must be loaded into the sram on every power cycling. initialization: initialize to the values in the following table: 5 h queues 95:80 (line 2) 6 h queues 111:96 (line 3) 7 h queues 127:112 (line 3) 8 h queues 143:128 (line 4) 9 h queues 159:144 (line 4) a h queues 175:160 (line 5) b h queues 191:176 (line 5) c h queues 207:192 (line 6) d h queues 223:208 (line 6) e h queues 239:224 (line 7) f h queues 255:240 (line 7) offset data value 0 h 0000 h 1 h 0017 h 2 h 002d h 3 h 003a h 4 h 004e h 5 h 0059 h 6 h 0063 h 7 h 0074 h 8 h 008b h 9 h 009c h a h 00a6 h b h 00b1 h c h 00c5 h d h 00d2 h e h 00e8 h f h 00ff h offset description
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  7.6.4 t_cond_sig organization: 32 bytes 8 lines base address: 400 h index: 10 h type: read/write function: stores the transmit conditioned signaling. initialization: initialize to the conditioned signaling value for the channel. this value typi- cally depends on the type of channel unit that is connected. for example, a foreign exchange office (fxo) needs a different conditioning value than a foreign exchange subscriber (fxs). format: one nibble per byte, two bytes per word, 16 words per line. refer to the following table. t_cond_sig_n word format offset name description 00000 h t_cond_sig_0 transmit conditioned signaling for line 0. 00010 h t_cond_sig_1 transmit conditioned signaling for line 1. 00020 h t_cond_sig_2 transmit conditioned signaling for line 2. 00030 h t_cond_sig_3 transmit conditioned signaling for line 3. 00040 h t_cond_sig_4 transmit conditioned signaling for line 4. 00050 h t_cond_sig_5 transmit conditioned signaling for line 5. 00060 h t_cond_sig_6 transmit conditioned signaling for line 6. 00070 h t_cond_sig_7 transmit conditioned signaling for line 7. field (bits) description not used (15:12) write with a 0 to maintain future software compatibility. t_cond_sig_a_h (11) transmit conditioned a bit for: offset = ((channel -1) ? 2) + line 16. t_cond_sig_b_h (10) transmit conditioned b bit for: offset = ((channel -1) ? 2) + line 16. t_cond_sig_c_h (9) transmit conditioned c bit or a bit if t1 sf for: offset = ((channel -1) ? 2) + line 16. t_cond_sig_d_h (8) transmit conditioned d bit or b bit if t1 sf for: offset = ((channel -1) ? 2) + line 16. not used (7:4) write with a 0 to maintain future software compatibility. t_cond_sig_a_l (3) transmit conditioned a bit for: offset = (channel ? 2) + line 16. t_cond_sig_b_l (2) transmit conditioned b bit for: offset = (channel ? 2) + line 16.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  7.6.5 t_cond_data organization: 32 bytes 8 lines base address: 480 h index: 10 h type: read/write function: stores the transmit conditioned data. initialization: initialize to the conditioned data appropriate for the channel, which typi- cally depends on the type of channel connected to the device. for example, data usu- ally needs an ff h value and voice needs a small pulse coded modulation (pcm) value. format: two bytes per word, 16 words per line. refer to the following table. t_cond_data_n word format t_cond_sig_c_l (1) transmit conditioned c bit or a bit if t1 sf for: offset = (channel ? 2) + line 16. t_cond_sig_d_l (0) transmit conditioned d bit or b bit if t1 sf for: offset = (channel ? 2) + line 16. offset name description 00000 h t_cond_data_0 transmit conditioned data for line 0. 00010 h t_cond_data_1 transmit conditioned data for line 1. 00020 h t_cond_data_2 transmit conditioned data for line 2. 00030 h t_cond_data_3 transmit conditioned data for line 3. 00040 h t_cond_data_4 transmit conditioned data for line 4. 00050 h t_cond_data_5 transmit conditioned data for line 5. 00060 h t_cond_data_6 transmit conditioned data for line 6. 00070 h t_cond_data_7 transmit conditioned data for line 7. field (bits) description t_cond_data_h (15:8) transmit conditioned data offset = ((channel ? 2) + 1) + line 16. t_cond_data_l (7:0) transmit conditioned data offset = (channel ? 2) + line 16. field (bits) description
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  7.6.6 reserved (transmit signaling buffer) note: this structure is reserved and need not be initialized to 0. software modifications to this structure after setup will cause incorrect operation. organization: eight multiframes 32 ds0s 8 lines. each of the eight lines are allocated a separate signaling buffer. each ds0 generates one new nibble of signaling per multi- frame. the data is stored in the buffer in the order it is received from the framer device. different framers provide the signaling information in different formats, as the following illustration shows, for one multiframe worth of signaling data. base address: 01000 h index: 80 h type: read/write function: stores the outgoing signaling data. figure 84 displays the contents of the transmit signaling buffer. t_sig_buf_n word format figure 84. sdf-mf format of t_signaling_buffer 31 28 30 26 24 word 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 15 0 bit 1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 0 2 4 6 8 10 12 14 16 18 20 22 note: the upper nibble of each byte is 0. t1 format uses word addresses 0 to 11.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  7.6.7 t_oam_queue organization: 2 cells 32 words base address: 01400 h index: 20 h type: read/write function: stores two transmit oam cells. initialization: an optimization is to initialize to the body of an oam cell so only the header must be modified before sending. format: refer to the following table. t_oam_cell_n format offset name description 01400 h t_oam_cell_1 transmit oam cell 1. 01420 h t_oam_cell_2 transmit oam cell 2. offset bits 15:8 bits 7:0 word 0 header 1 header 2 word 1 header 3 header 4 word 2 header 5 (hec) (pre-calculated by software) bits 7:1 not used. set to 0. bit 0 0 disables crc-10 insertion. 1 enables crc-10 insertion. word 3 payload 1 payload 2 . . . . . . . . . word 26 payload 47 payload 48 if crc-10 is enabled in word 2, set data to 0 in word 26. word 26 will be replaced by the computed crc-10 result as the cell is transmitted.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  7.6.8 t_queue_tbl organization: 256 32 words base address: 2000 h index: 20 h type: read/write function: configures the vcs. format: each queue will be allocated 32 consecutive words. note: all registers are right justified, with any unused bits set to 0. offset name description 0 h reserved (data pointer.) initialize to ffff each time this queue is initialized. 1 h not used initialize to 0 each time this queue is initialized to maintain future software compatibility. 2 h t_cond_cell_cnt a 16-bit rollover count of conditioned cells transmitted. 3 h t_suppressed_cell_cnt a 16-bit rollover count of cells not sent because of a line resynchronization. or, if in udf-hs mode, a 16-bit rollover count of cells not sent because tx_ active is not set. this counter also counts when cells are not sent because suppress_transmission is set. 4 h not used initialize to 0 each time this queue is initialized to maintain future software compatibility. 5 h reserved (sequence number.) initialize to 0 each time this queue is initialized. 6 h queue_config the configuration of the current queue. initialize to the proper value. 7 h t_cell_cnt a 16-bit count of the cells transmitted. 8 h tx_head(2:1) header byte 1 in bits 15:8, header byte 2 in bits 7:0. 9 h tx_head(4:3) header byte 3 in bits 15:8, header byte 4 in bits 7:0. a h tx_head(5) header byte 5 (pre-calculated hec) in bits 15:8. b h que_credits a 10-bit quantity representing the number of byte credits accumulated for the queue. c h csd_config stores the average number of bytes in each cell, and carries the number of ds0s for this queue. d h not used initialize to 0 each time this queue is initialized to maintain future software compatibility. e h t_chan_alloc(15:0) a bit table with a bit set per ds0 allocated to this queue for ds0s 15:0 on the line defined by queue ? 32. f h t_chan_alloc(31:16) a bit table with a bit set per ds0 allocated to this queue for ds0s 31:16 on the line defined by queue ? 32. 10 h t_chan_left(15:0) initialize to the same value as t_chan_alloc(15:0). 11 h t_chan_left(31:16) initialize to the same value as t_chan_alloc(31:16). 12 h idle_config controls transmission of data. 13 h -1f h not used initialize to 0 each time this queue is initialized.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  t_cond_cell_cnt word format t_suppressed_cell_cnt word format queue_config word format field (bits) description t_cond_cell_cnt (15:0) a 16-bit rollover count of conditioned cells transmitted. field (bits) description t_suppressed_cell_cnt (15:0) a 16-bit rollover count of cells not sent because of a line resynchronization. or, if in udf-hs mode, a 16-bit rollover count of cells not sent because tx_active is not set. this counter also counts when cells are not sent because suppress_ transmission (refer to suppress_transmission on page 139 ) is set. field (bits) description tx_cond (15) sends data and signaling from the transmit conditioned data area. initialize to the proper value. tx_active (14) enables this queue. to enable sending cells on a udf-hs connection, assert this bit. to enable non-udf-hs connections: 1. assert this bit. 2. set the bit corresponding to this queue in the t_add_queue table (refer to section 7.6.2 t_add_queue on page 128 ). 3. assert the csd_attn bit in the cmd_reg (refer to cmdreg word for- mat on page 165 ). to disable non-udf_hs connections, clear this bit. this queue is then removed from the calendar queue the next time a cell would have been sent. this queue must not be returned to the calendar queue until enough time has elapsed for this to have occurred. note: when reactivating a previously active queue, be sure to reinitialize all the regis- ters in the queue table for that queue.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  t_cell_cnt word format frames_per_cell (13:8) a 6-bit integer specifying the maximum number of frames required to have enough data to construct a cell (round up of byte_per_cell/number of ds0s assigned) plus 1. for example, for a t1 line in sdf-fr mode with five ds0s, initialize this field to 11. in t1 sdf-mf, t1 sdf-fr, and e1_with_t1_sig modes, the frames_per_cell is encoded as the number of 24-frame multiframes required in bit 13 and the number of frames mod 24 in bits 12:8. in all other modes, including unstructured t1 mode, encode this value as the maximum number of 256 bit increments required to create a cell. for unstructured mode with full cells, set this value to 3. notes: ? for channels with a single ds0, encode the value 48 as one multiframe and 24 frames. ? when calculating the frames_per_cell value, do not subtract the bytes used by signaling nibbles from the value. for example, for an sdf-mf, single ds0, full cell connection, use the value 47 + 1 = 48 and not 46 + 1 = 47. ? for sdf-mf connections using partial cells, set frames_per_cell to (round up of byte_per_cell/number of ds0s assigned) plus 2. this prevents scheduling more than one cell per frame. t_chan_no_sig (7) set to 1 to send cells with no signaling when in sdf-mf mode. this is the same as using this queue in sdf-fr mode, which means the structure forms on frame boundaries instead of multiframe boundaries. t_chan_unstruct (6) set to 1 only when sending cells with a single ds0 without a pointer in the sdf-fr mode. to conform to the ces standard v 2.0 (refer to appendix b, references, on page 203 ) when using a single ds0 in sdf-fr mode, no pointer should be used. bytes_per_cell (5:0) a 6-bit integer specifying how many bytes per cell are required if no structure pointers are used. for udf_hs mode, this value must be 47. this number must be set so the cell generation rate per queue is slower than once per frame. for unstructured lines, this means between 33 and 47. for structured applications, the bytes_per_cell number must exceed the number of ds0 channels allocated to the queue. for example, a two channel queue may have the number set from 3 to 47. note: for sdf-mf connections with more than 16 channels allocated, the bytes_ per_cell number must exceed the number of ds0 channels allocated to the queue by two. for example, a 17 channel sdf-mf queue may have the number set from 19 to 47. field (bits) description t_cell_cnt (15:0) a 16-bit count of the data cells transmitted. rolls to 0 from ffff h . initialize to 0. after initialization, do not write to this word. field (bits) description
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  tx_head(1:2) word format tx_head(3:4) word format tx_head(5) word format que_credits word format field (bits) description tx_head(1) (15:8) first header byte in bits 15:8. initialize to the proper value. tx_head(2) (7:0) second header byte in bits 7:0. initialize to the proper value. field (bits) description tx_head(3) (15:8) third header byte in bits 15:8. initialize to the proper value. tx_head(4) (7:0) fourth header byte in bits 7:0. initialize to the proper value. field (bits) description tx_head(5) (15:8) fifth header byte that contains the precalculated hec word. initialize to the proper value. not used (7:0) write with a 0 to maintain compatibility with future software versions. field (bits) description frame_remainder (15:14) a 2-bit quantity representing the remainder of the division operation the csd performs when converting the frame differential (expressed in frames) to the frame differential (expressed in eighths of multiframes). this quantity is maintained by the csd. initialize to 00 b . not used (13:10) write with a 0 to maintain compatibility with future software versions. queue_credits (9:0) a 10-bit quantity representing the number of credits(bytes) accumulated for the queue. it is measured in eighths (three lsbs are fractional bits). initialize to 47 8 (178 h ) for udf modes full cells and single-ds0-no-pointer mode full cells, 46.875 8 (177 h ) for sdf modes full cells, or to the partially filled cell length 8.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  csd_config word format t_channel_alloc(15:0) word format t_channel_alloc(31:16) word format t_channel_left(15:0) word format t_channel_left(31:16) word format field (bits) description num_chan (15:10) a 6-bit integer specifying the number of ds0s carried by this queue. if a queue serves seven ds0s, initialize this field to 7. it is not used in udf-ml and udf-hs modes. avg_sub_valu (9:0) a 10-bit integer representing the average number of data bytes per cell measured in eighths. the three lsbs represent bits after the fixed decimal point. initialize to 46.875 (0101110.111) for full cells when in sdf-fr or sdf-mf mode. initialize to 47 (0101111.000) for full cells when in udf-ml mode or single-ds0-no-pointer mode. for partial cells, this value is the same as the partially filled value 8. this field is not used in udf-hs mode. field (bits) description t_channel_alloc (15:0) a bit table with a bit set per ds0 allocated to this queue for ds0s 15 to 0 on the line defined by queue ? 32. initialize to the proper value for sdf-mf and sdf-fr modes and to ffff h for udf-ml and udf-hs modes. field (bits) description t_channel_alloc (31:16) a bit table with a bit set per ds0 allocated to this queue for ds0s 31 to 16 on the line defined by queue ? 32. initialize to the proper value. initialize to the proper value for sdf-mf and sdf-fr modes and to ffff h for udf-ml and udf-hs modes. field (bits) description t_channel_left (15:0) initialize to the same value as t_chan_alloc(15:0). field (bits) description t_channel_left (31:16) initialize to the same value as t_chan_alloc(31:16).
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  idle_config word format 7.6.9 reserved (transmit data buffer) note: this structure is reserved and must be initialized to 0 at initial setup. software modi- fications to this location after setup will cause incorrect operation. organization: 4 kbytes 8 lines - each line is allocated a separate 128 frame buffer mem- ory. for e1 applications, this is large enough to store eight multiframes (32 ds0s 16 frames 8 multiframes = 4096 bytes). in t1 mode, 96 frames or four multiframes are stored (24 24 4 = 2880 bytes). t1 storage uses 32 bytes per frame and 32 frames per multiframe to simplify address generation. every data byte is stored in the multi- frame line buffers in the order in which it arrives. note: if e1_with_t1_sig is set (refer to bit 12 in section 7.4.3 lin_str_mode start- ing on page 126 ), data is arranged as if in t1 mode. base address: 04000 h index(line): 800 h type: read/write function: stores the outgoing data. format: two data bytes per word, 16 words per frame. t_data_buffer_n word format field (bits) description suppress_transmission (15) set to 1 to suppress the generation of cells for this queue. cells continue to be scheduled, but are not built. this bit can be used to temporarily stop the generation of cells while a queue is reconfigured, if the line configuration and number of timeslots assigned to the queue do not change. not used (14:0) write with a 0 to maintain future software compatibility. field (bits) description t_data_h (15:8) transmit data for: channel = (offset mod 16) 2 + 1. e1 offset = line 2048 + multiframe 256 + frame 16 + (channel - 1) ? 2. t1 offset = line 2048 + multiframe 512 + frame 16 + (channel - 1) ? 2. t_data_l (7:0) transmit data for: channel = (offset mod 16) 2. e1 offset = line 2048 + multiframe 256 + frame 16 + channel ? 2. t1 offset = line 2048 + multiframe 512 + frame 16 + channel ? 2.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  7.6.10 math_tbl organization: 8 k words base address: 0c000 h index: 1 h type: read/write function: the math table must be loaded into sram on every power cycling, except in udf-hs mode, in which case the math table is not used. the math table stores the results of five different mathematical operations. ? div_op performs the integer divide and roundup-to-next integer operation. the num- ber of integer credits (six bits) is divided by the number of channels (five bits). these two fields are concatenated to form an 11-bit address into the table. the result is an 8-bit integer. ? mod_add_op adds the results of the frame location to the frame offset. in the case of t1 structured data, the mod is 120. all others use a module 128. the result is a 7-bit integer. a 7-bit frame value is concatenated with a 6-bit frame increment value to form a 13-bit address. ? mult_op determines the number of earned integer credits for next service time. it is obtained by multiplying the number of channels by the frame increment value. a 6-bit frame value is concatenated with a 5-bit channel count to create an 11-bit address. ? t1_mf_div_op determines the number of 1/8 multiframes and the remainder of the division given a frame count for the t1 mode of operation. ? e1_mf_div_op determines the number of 1/8 multiframes and the remainder of the division given a frame count for the e1 mode of operation. format: the four values resulting from the math operations are stored in the same table, as shown in figure 85. note: the aal1gator ii uses a different math table than previous versions of the chip. the field (bits) description this table is available in the software driver available at http://www.pmc-sierra.com.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  same table is used for both e1 and t1 modes. 7.7 receive data structures summary table 23 lists the data structures unique to the receive side of the aal1gator ii. figure 85. math operation results table 23. receive data structures summary data structure description organization amount of memory address description r_oam_queue_tbl 2 words 4 bytes 0 8000 h - 0 8001 h receive oam head and tail pointers. r_oam_cell_cnt 1 word 2 bytes 0 8002 h count of received oam cells. r_dropped_oam_cell_cnt 1 word 2 bytes 0 8003 h count of dropped oam cells. reserved 16 words 32 bytes 0 8020 h - 0 802f h reserved (srts queue pointers). r_srts_config 2 bytes 8 lines 16 bytes 0 8038 h - 0 803f h receive srts configuration. r_crc_syndrome 128 words 256 bytes 0 8080 h - 0 80ff h mask of bits. initialized from a table. r_ch_to_queue_tbl 128 words 256 bytes 0 8200 h - 0 827f h receive channel to queue table. r_cond_sig 16 8 bytes 256 bytes 0 8400 h - 0 847f h receive signaling conditioning values. r_cond_data 32 8 bytes 256 bytes 0 8480 h - 0 84ff h receive data conditioning values. reserved 8 256 words 4 kbytes 0 8800 h - 0 8fff h reserved (receive srts queue) . reserved 8 x 32 16 words 8 kbytes 0 9000 h - 0 9fff h reserved (receive signaling buffer). r_queue_tbl 256 32 words 16 kbytes 0 a000 h - 0 bfff h receive queue table. r_oam_queue 256 64 bytes 16 kbytes 0 e000 h - 0 ffff h receive oam queue. reserved 8 512 32 bytes 128 kbytes 1 0000 h - 1 ffff h reserved (receive data buffer). not used mod_add_op div_op mult_op e1_mf_div_op c000 c8000 d000 dfff address bits 15 8 7 0 t1_mf_div_op d200 d400
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  7.8 receive data structures descriptions this section describes the structures used by the receive side of the aal1gator ii. for structures that are common to both receive and transmit, refer to section 7.5 transmit data structures summary on page 127 . notes: ? all ports marked as reserved must be initialized to 0 at initial setup. software mod- ifications to these locations after setup will cause incorrect operation. ? all read/write port bits marked not used must be written with the value 0 to main- tain software compatibility with future versions. ? all read-only port bits marked not used are driven with a 0 and should be masked off by the software to maintain compatibility with future versions.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  7.8.1 r_oam_queue_tbl organization: 2 words base address : 0 8000 h index: 1 h type: read/write function: oam cells received from the atm side are stored in a fifo queue in the mem- ory. head and tail pointers are used to keep track of the read and write locations of the oam cell buffers. there are 256 cell buffers in the oam receive queue. of these 256 cell buffers, 255 are usable. the 256th buffer is used to detect a full queue as follows: when the queue is empty, oam_head = oam_tail = n. when a cell is received, the cell is written into the buffer at index (oam_tail + 1) mod 256, and oam_ tail is replaced with (oam_tail + 1) mod 256. when the processor receives an interrupt, it reads the cell at the buffer index (oam_head + 1) mod 256. after com- pleting the read, it sets oam_head to (oam_head + 1) mod 256. this process is continued until oam_head = oam_tail, at which time the oam receive queue is empty. the receive oam interrupt can be cleared by asserting the clr_rx_oam_ latch bit in the cmd_reg (refer to cmdreg word format on page 165 ). if an oam cell arrived between the time the oam_tail was last read and clr_rx_ oam_latch was asserted, this oam cells arrival can be detected within the inter- rupt service routine by re-reading oam_tail after clr_rx_oam_latch was asserted. format: oam_head word format oam_tail word format offset name description 0 oam_head head pointer. 1 oam_tail tail pointer. field (bits) description oam_head (7:0) the microprocessor should increment to the next cell location when it reads a cell. initialize to 0. field (bits) description oam_tail (7:0) incremented by the ralp after it writes a cell to the oam cell queue. initialize to 0.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  7.8.2 r_oam_cell_cnt organization: 1 word base address: 0 8002 h index: 1 h type: read/write function: 16-bit rollover counter that counts the number of oam cells received. the soft- ware must initialize this counter to 0 during reset. r_oam_cellcnt word format 7.8.3 r_dropped_oam_cell_cnt organization: 1 word base address: 0 8003 h index: 1 h type: read/write function: 16-bit rollover counter that counts the number of dropped oam cells. the soft- ware should initialize this counter to 0 during reset. r_dropped_oam_cellcnt word format field (bits) description r_oam_cellcnt (15:0) 16-bit rollover counter that counts the number of oam cells received. the software must initialize this counter to 0 during reset. after initialization, do not write to this word. field (bits) description r_dropped_oam_cellcnt (15:0) 16-bit rollover counter that counts the number of oam cells dropped. oam cells are dropped when more than 255 are present in the receive queue. the software must initialize this counter to 0 during reset. after initialization, do not write to this word.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  7.8.4 r_srts_config organization: 2 bytes 8 lines base address: 0 8038 h index: 1 h type: read/write function: this table stores the cdvt for the srts channel, expressed in the number of queued srts nibbles. initialization: initialize to the number of srts nibbles equivalent to the cdvt for the data by rounding up. each frame of cdvt for unstructured applications represent 256 bits. each srts nibble represents 3008 bits, which is the number of data bits in eight cells. therefore, the number of srts nibbles that corresponds to the cdvt can be determined by dividing the cdvt number in frames by 3008 ? 256, or 11.75, and rounding up to the next higher integer. format: one byte per line. refer to the following table. r_srts_cdvt_n word format offset name description 0 h r_srts_cdvt_0 receive srts cdvt for line 0. 1 h r_srts_cdvt_1 receive srts cdvt for line 1. 2 h r_srts_cdvt_2 receive srts cdvt for line 2. 3 h r_srts_cdvt_3 receive srts cdvt for line 3. 4 h r_srts_cdvt_4 receive srts cdvt for line 4. 5 h r_srts_cdvt_5 receive srts cdvt for line 5. 6 h r_srts_cdvt_6 receive srts cdvt for line 6. 7 h r_srts_cdvt_7 receive srts cdvt for line 7. field (bits) description not used (15:5) write with 0 to maintain compatibility with future software versions. r_srts_cdvt (4:0) receive srts cdvt.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  7.8.5 r_crc_syndrome organization: 128 words base address: 0 8080 h index: 1 h type: read/write function: this table identifies which bit of the sn/snp byte has been corrupted, if any. load after each power cycle. used internally to perform crc correction. r_crc_syndrome word format mask bit table field (bits) description rx_crc_syndrome (4:0) mask of bits to change. sar-pdu header offset data (hex) sar-pdu header offset data (hex) 00 00 00 40 08 01 10 41 10 02 10 42 04 03 01 43 02 04 10 44 10 05 08 8b 45 00 06 02 46 01 07 04 47 10 08 01 48 02 09 10 49 04 0a 10 4a 10 17 0b 00 4b 08 0c 04 4c 10 0d 02 4d 01 0e 08 9c 4e 00 0f 10 4f 10 10 02 50 01 11 04 51 10 12 10 52 10 13 08 a6 53 00 14 10 54 04 15 01 55 02 2d 16 00 56 08 17 10 57 10 18 08 b1 58 00 19 10 59 10 1a 04 5a 10 1b 02 5b 01 1c 10 5c 10
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  3a 1d 00 5d 08 1e 01 5e 02 1f 10 5f 04 20 04 60 10 21 02 61 01 22 08 c5 62 00 23 10 63 10 24 01 64 02 25 10 65 04 26 10 66 10 4e 27 00 67 08 28 10 68 10 29 08 d2 69 00 2a 02 6a 01 2b 04 6b 10 59 2c 00 6c 08 2d 10 6d 10 2e 10 6e 04 2f 01 6f 02 30 10 70 10 63 31 00 71 08 32 01 72 02 33 10 73 04 34 08 e8 74 00 35 10 75 10 36 04 76 10 37 02 77 01 38 10 78 04 39 01 79 02 74 3a 00 7a 08 3b 10 7b 10 3c 02 7c 01 3d 04 7d 10 3e 10 7e 10 3f 08 ff 7f 00 sar-pdu header offset data (hex) sar-pdu header offset data (hex) 00 no errors 01 correct bit 0 02 correct bit 1 04 correct bit 2 08 correct bit 3 10 snp error (no need to correct sn field) legend
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  7.8.6 r_ch_to_queue_tbl organization: 128 words (8 lines 32 ds0s) base address: 08200 h index: 1 h type: read/write function: this table associates the ds0 with the queue. it allows the transmit line inter- face to determine the status of the receive queue supplying bytes for the ds0s being processed. initialize to the proper values. the aal1gator ii processes two bytes at a time, so the values in the following table are in pairs. for unstructured lines, set all of the queue values to the receive queue number mod 32. in udf-hs mode, this table is not used. when this queue is in underrun, the aal1gator ii reads data for the line from the first word of the r_cond_data_0 table (refer to section 7.8.8 r_ cond_data on page 151 ). format: refer to the following table. ch_to_queue word format offset name description n ch_to_queue queue numbers and condition bits associated with this pair of channels where: line = n ? 16. low channel = (n mod 16) 2. high channel = (n mod 16) 2 + 1. field (bits) description rx_cond_h (15:14) determines the type of data to be played out: note: options 00, 01, and 11 are executed only when the queue is in an underrun or resume state. 00 when the queue is in underrun, read signaling for this channel from multiframe 0 and the data for this channel from the r_ cond_data table (refer to section 7.8.8 r_cond_ data on page 151 ). 01 when the queue is in underrun, read signaling for this channel from multiframe 0 and play out pseudorandom data, which is inserted data from r_cond_data, with the msb con- trolled by the pseudorandom number algorithm x 18 + x 7 + 1 (not valid for udf-hs). 10 read signaling for this channel from the r_cond_sig table (refer to section 7.8.7 r_cond_sig on page 150 ) and the data for this channel from the r_cond_data table. 11 when the queue is in underrun, read the signaling from multi- frame 0 and play out the contents of the buffer. not used (13) write with a 0 to maintain future software compatibility.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  queue_h (12:8) five lsbs of the queue index associated with this ds0. the three msbs are implicitly those of the line number. offset = (channel - 1) ? 2 + line 16. for unstructured lines, set to the receive queue number mod 32. rx_cond_l (7:6) determines the type of data to be played out: note: options 00, 01, and 11 are executed only when the queue is in an underrun or resume state. 00 b when the queue is in underrun, read signaling for this channel from multiframe 0 and the data for this channel from the r_ cond_data table (refer to section 7.8.8 r_cond_ data on page 151 ). 01 b when the queue is in underrun, read signaling for this channel from multiframe 0 and play out pseudorandom data, which is inserted data from r_cond_data, with the msb con- trolled by the pseudorandom number algorithm x 18 + x 7 + 1 (not valid for udf-hs). 10 b read signaling for this channel from the r_cond_sig table (refer to section 7.8.7 r_cond_sig on page 150 ) and the data for this channel from the r_cond_data table. 11 b when the queue is in underrun, read the signaling from multi- frame 0 and play out the contents of the buffer. not used (5) write with a 0 to maintain future software compatibility. queue_l (4:0) five lsbs of the queue index associated with this ds0. the three msbs are implicitly those of the line number. offset = channel ? 2 + line 16. field (bits) description
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  7.8.7 r_cond_sig organization: 16 words 8 base address: 08400 h index: 10 h type: read/write function: this table stores the signaling to be used when rx_cond_h or rx_cond_l equals 10 in the r_ch_to_queue_tbl. initialization: initialize to the conditioned signaling value for the channel. this value typi- cally depends on the type of channel unit that is connected. for example, an fxo channel unit needs a different conditioning value than an fxs channel unit. format: one nibble per byte, two bytes per word, 16 words per line. refer to the following table. r_cond_sig_n word format offset name description 00000 h r_cond_sig_0 receive conditioned signaling for line 0. 00010 h r_cond_sig_1 receive conditioned signaling for line 1. 00020 h r_cond_sig_2 receive conditioned signaling for line 2. 00030 h r_cond_sig_3 receive conditioned signaling for line 3. 00040 h r_cond_sig_4 receive conditioned signaling for line 4. 00050 h r_cond_sig_5 receive conditioned signaling for line 5. 00060 h r_cond_sig_6 receive conditioned signaling for line 6. 00070 h r_cond_sig_7 receive conditioned signaling for line 7. field (bits) description not used (15:12) write with a 0 to maintain future software compatibility. r_cond_a_h (11) receive conditioned a signaling bit for: offset = (channel - 1) ? 2 + line 16. r_cond_b_h (10) receive conditioned b signaling bit for: offset = (channel - 1) ? 2 + line 16. r_cond_c_h (9) receive conditioned c signaling bit or a bit if t1 sf for: offset = (channel - 1) ? 2 + line 16. r_cond_d_h (8) receive conditioned d signaling bit or b bit if t1 sf for: offset = (channel - 1) ? 2 + line 16. not used (7:4) write with a 0 to maintain future software compatibility. r_cond_a_l (3) receive conditioned a signaling bit for: offset = channel ? 2 + line 16. r_cond_b_l (2) receive conditioned b signaling bit for: offset = channel ? 2 + line 16.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  7.8.8 r_cond_data organization: 16 words 8 base address: 08480 h index: 10 h type: read/write function: this table stores the data to be used when rx_cond in the r_ch_to_ queue_tbl equals 00 h , 01 h , or 10 h . initialization: initialize to the conditioned data appropriate for the channel. this typically depends on the type of channel connected to the device. for example, data usually needs an ff value and voice needs a small pcm value. format: two bytes per word, 16 words per line. refer to the following table. r_cond_data_n word format r_cond_c_l (1) receive conditioned c signaling bit or a bit if t1 sf for: offset = channel ? 2 + line 16. r_cond_d_l (0) receive conditioned d signaling bit or b bit if t1 sf for: offset = channel ? 2 + line 16. offset name description 00000 h r_cond_data_0 receive conditioned data for line 0. 00010 h r_cond_data_1 receive conditioned data for line 1. 00020 h r_cond_data_2 receive conditioned data for line 2. 00030 h r_cond_data_3 receive conditioned data for line 3. 00040 h r_cond_data_4 receive conditioned data for line 4. 00050 h r_cond_data_5 receive conditioned data for line 5. 00060 h r_cond_data_6 receive conditioned data for line 6. 00070 h r_cond_data_7 receive conditioned data for line 7. field (bits) description r_cond_data_h (15:8) receive conditioned data for: offset = (channel - 1) ? 2 + line 16. r_cond_data_l (7:0) receive conditioned data for: offset = channel ? 2 + line 16. field (bits) description
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  7.8.9 reserved (receive srts queue) note: this structure is reserved. software modifications to this structure after setup will cause incorrect operation. organization: 64 words 8 lines. each line is allocated a separate 64-entry queue to store the srts receive nibbles. base address: 8800 h index: 100 h type: read/write function: the receive srts queue stores the srts bits received from the utopia inter- face. initialization: it is not necessary to initialize this structure. format: one srts nibble per word. r_srts_queue_n word format field (bits) description not used (15:4) write with a 0 to maintain future software compatibility. r_srts (3:0) receive srts data for line = offset ? 64.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  7.8.10 reserved (receive signaling buffer) note: this structure is reserved. software modifications to this structure after setup will cause incorrect operation. organization: 32 32 ds0s 8 lines. each line is allocated a separate 32 32 byte mem- ory. for e1, this allows storage of signaling information for 32 multiframes, unless e1_with_t1_sig is set. t1 applications use only the first 24 bytes of every 32 to store signaling data. in addition, since the transmit data buffer is only 16 multiframes in size, this structure also needs to store only 16 multiframes. successive multiframes are stored in every other 32-byte buffer. when signaling is frozen due to an underrun, the value in multiframe 0 is used. base address: 09000 h index (line): 200 h type: read/write function: the receive signaling queue stores the signaling that is received from the uto- pia interface. initialization: the signaling buffer should be initialized to 0. also, if r_chan_no_ sig is set for some queues and a specific signaling value is desired to be driven for these queues, then the ds0s in those queues must be initialized to the desired value for all multiframes. format: two signaling nibbles per word. r_sig_buffer_n word format field (bits) description not used (15:12) write with a 0 to maintain compatibility with future software versions. r_sig_h (11:8) receive signaling data for: channel = (offset mod 16) 2 + 1. multiframe = (offset mod 512) ? 16. line = offset ? 512. offset = line 512 + multiframe 16 + (channel - 1) ? 2. not used (7:4) write with a 0 to maintain compatibility with future software versions. r_sig_l (3:0) receive signaling data for: channel = (offset mod 16) 2. multiframe = ( offset mod 512) ? 16. line = offset ? 512. offset = line 512 + multiframe 16 + channel ? 2.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  7.8.11 r_queue_tbl organization: 256 32 words base address: 0a000 h index: 20 h type: read/write function: receive queue table contains all the structures and pointers specific to a queue. the ralp and rftc blocks both use the r_queue_tbl. some of the words are read by both the blocks but written by only one of the blocks. format: each queue is allocated 32 consecutive words. each word is 16-bits wide. the organization of the words is as follows. offset name description 0 h r_state_0 cell receiver state 0. 1 h r_mp_config bytes per cell and cdvt constant. 2 h r_state_1 cell receiver state 1. 3 h r_line_state line state. 4 h r_max_buf receive maximum buffer size. 5 h r_sequence_err 16-bit rollover count of sn errors. 6 h r_incorrect_snp 16-bit rollover count of cells with incorrect snp. 7 h r_cell_cnt 16-bit rollover count of played out cells. 8 h r_error_stky receive sticky bits. 9 h r_tot_size total bytes in structure. a h r_data_last number of signaling bytes in structure. b h r_tot_left number of bytes remaining in the structure. initialize to 0 each time this queue is initialized. c h not used initialize to 0 each time this queue is initialized. d h r_sn_config configures sequence number processing algorithm. e h r_chan_alloc(15:0) a bit table with a bit set per ds0 allocated to this queue for ds0s 15 to 0 on the line defined by queue ? 32. f h r_chan_alloc(31:16) a bit table with a bit set per ds0 allocated to this queue for ds0s 31 to 16 on the line defined by queue ? 32. 10 h reserved (chnleftl) initialize to 0 each time this queue is initialized. 11 h reserved (chnlefth) initialize to 0 each time this queue is initialized. 12 h r_dropped_cells 16-bit rollover count of cells that were received but dropped. initialize to 0. 13 h r_underruns 16-bit rollover count of the occurrences of underrun on this queue. initialize to 0. 14 h r_lost_cells 16-bit rollover count of the number of lost cells for this queue. initialize to 0. 15 h r_overruns 16-bit rollover count of the occurrences of overrun on this queue. initialize to 0. 16 h r_ptr_reframes 16-bit rollover count of the occurrences of pointer reframes. initialize to 0. 17 h r_ptr_par_err 16-bit rollover count of the occurrences of pointer parity errors. initialize to 0. 18 h r_misinserted 16-bit rollover count of the occurrences of misinserted cells. initialize to 0.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  r_state_0 word format note: this word is read-only and is maintained by the ralp. r_mp_config word format note: this word is maintained by the microprocessor. 19 h -1f h not used initialize to 0 each time this queue is initialized. note: all of these locations must be initialized whenever the queue is initialized. field (bits) description not used (15) initialize to 0 to maintain future software compatibility. r_struct_found (14) indicates that the receiver structure was found. initialize to 0. reserved(oldundrn_n) (13) initialize to 0 to maintain future software compatibility. reserved(undrn_2ago) (12) initialize to 0 to maintain future software compatibility. reserved(actsn) (11:9) initialize to 0 to maintain future software compatibility. sn_state (8:6) specifies the state of the sn state machine. initialize to 0. 2nd_last_sn (5:3) specifies the sn that was received two cells ago. initialize to 0. last_sn (2:0) specifies the last sn that was received. initialize to 0. field (bits) description r_chk_parity (15) if set, check the parity on the incoming structure pointer. r_bytes_cell (14:9) a 6-bit integer specifying how many bytes per cell are required if no structure pointers are used. for udf-hs mode, this must be set to 47. in other modes, set this to the partially filled length. if cells are not partially filled, set this to 47. not used (8) write with a 0 to maintain future software compatibility. offset name description
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  r_state_1 word format note: this word is read-only and is maintained by the ralp. r_line_state word format note: this word is read-only after initialization and is maintained by the ralp and rftc. r_cdvt (7:0) receive cell delay variation tolerance (r_cdvt) is a constant and is programmed by the microprocessor during initialization. it is used by the rftc after the receipt of the first cell after an underrun. in t1 sdf-mf, e1_ with_t1_sig, or sdf-fr mode, the r_cdvt is expressed as the number of multiframes in bits 7:5 and the number of frames in bits 4:0. in e1 and all other t1 modes, r_cdvt is the number of frames. in unstructured applications, the number of frames refers to the number of 256-bit increments. for t1 unstructured modes, this is equivalent to the number of 165.8 m s periods. field (bits) description reserved (frc_undrn) (15) initialize to 0 to maintain future software compatibility. reserved (sncrcst) (14) initialize to 0 to maintain future software compatibility. reserved (ptrmmst) (13) initialize to 0 to maintain future software compatibility. reserved (fndptr) (12) initialize to 0 to maintain future software compatibility. reserved (fndfrstptr) (11) initialize to 0 to maintain future software compatibility. not used (10:9) driven with a 0. mask on reads to maintain future software compatibility. r_write_ptr (8:0) pointer to the frame to which the cell receiver is writing. field (bits) description r_underrun (15) indicates that this queue is currently in underrun. initialize to 1. not used in udf-hs mode. r_resume (14) indicates that this queue is currently in resume state. initialize to 0. not used in udf-hs mode. r_sig_resume (13) indicates that this queue is currently in signal resume state. initialize to 0. not used in udf-hs mode. field (bits) description
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  r_max_buf word format note: this word is maintained by the microprocessor. r_sequence_err word format reserved (12:9) initialize to 0 to maintain future software compatibility. r_end_underrun_ptr (8:0) location read pointer needs to reach after an underrun to begin playing out new data. initialize to 0 to maintain future software compatibility. field (bits) description r_chan_unstruct (15) set to 1 only when receiving cells with a single ds0 without a pointer in the sdf-fr mode. this bit is valid only in sdf-fr mode. to conform to the ces standard v 2.0 (refer to appendix b, references, on page 203 ) when using a single ds0 in sdf-fr mode, no pointer should be used. r_chan_no_sig (14) set to 1 to receive cells without signaling when the line is in sdf-mf mode. this is the same as using this queue in sdf-fr mode, which means that the structure forms on frame boundaries instead of multiframe boundaries. the r_sig_buffer will never be updated for this queue. however, the tl_sig output will drive the value that was initialized into this timeslot in t_sig_buffer. r_chan_disable (13) set to 1 to drop all cells for this queue. set to 0 for normal operation. cells dropped because of this bit are recorded in the alloc_tbl_blank sticky bit. not used (12:9) write with 0 to maintain future software compatibility. r_max_buf (8:0) receiver maximum buffer size. the r_max_buf is coded as the number of frames. in all structured modes, this is the number of frames. in all unstructured modes, this is the number of 256-bit increments. if the amount of data in the receive buffer exceeds r_max_buf, no more data will be written, an overflow will be reported, and the queue will be forced into underrun. the maximum value of r_max_buf is 1fe h . r_ max_buf should be set to at least 2 * r_cdvt, or r_cdvt + 2 * (number of frames per cell), whichever is greater. field (bits) description r_sequence_err (15:0) 16-bit rollover count of sn errors. this counter counts transitions from the sync state to the out_of_sequence state. this is the atmfcesaal1seqerrors count from the ces specification. note that if sn processing is disabled, this counter will count all out-of-sequence cells. initialize to 0. once initialized, do not write to this word. field (bits) description
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  r_incorrect_snp word format r_cell_cnt word format r_error_stky word format note: receive sticky bits should be used for statistics gathering purposes only as there is no means of clearing them without the possibility of missing an occurrence. initialize to 0. field (bits) description r_incorrect_snp (15:0) 16-bit rollover count of cells with snp errors. this is the atmfceshdrerrors counter from the ces specification. initialize to 0. once initialized, do not write to this word. field (bits) description r_cell_cnt (15:0) 16-bit rollover count of received cells. this is the atmfcesreasscells counter from the ces specification. initialize to 0. once initialized, do not write to this word. field (bits) description transfer (15) this bit is read then written with the same value each time the aal1gator ii receives a cell. this feature allows the processor to determine if the aal1gator ii was in the middle of a read then write cycle when the processor cleared the other sticky bits. to accomplish this each time the processor wants to clear sticky bits, it should complement this bit. then, if an additional read of this bit showed it to be the wrong value, then the aal1gator ii has had its sticky word update interrupted. cell_received (14) a cell was received. not used (13:12) write with a 0 to maintain future software compatibility. alloc_tbl_blank (11) a cell was dropped because of a blank allocation table or because r_chan_disable (refer to r_max_buf word format on page 157 ) was asserted. pointer_search (10) a cell was dropped because a valid pointer has not yet been found. forced_underrun (9) a cell was dropped because a forced underrun condition exists. a forced underrun condition can be caused by overruns and pointer mismatches. sn_cell_drop (8) a cell was dropped in accordance with the fast sn algorithm (as specified in itu-t recommendation i.363.1). pointer_received (7) a valid pointer was received. ptr_parity_err (6) a cell was received with a pointer parity error. srts_resume (5) an srts resume has occurred. a valid srts value was received and stored in the srts fifo.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  r_tot_size word format note: this word is maintained by the microprocessor. r_data_last word format note: this word is maintained by the microprocessor. srts_underrun (4) a cell was received while the srts queue was in underrun. resume (3) a resume has occurred: a valid cell was received and stored into the buffer. this cell will be played out after 1 cdvt. ptr_mismatch (2) a cell was dropped because of a pointer mismatch. this event causes a forced underrun condition. overrun (1) a cell was dropped due to overrun. the receive buffer exceeded the maximum allowed depth. this event causes a forced underrun condition. underrun (0) a cell was received while the queue was in underrun. field (bits) description not used (15:10) write with a 0 to maintain future software compatibility. r_tot_size (9:0) total number of bytes in the structure, minus one (for example, for an e1 sdf-mf vc with two ds0s, r_tot_size is set to 32). this field is not used in udf-ml or udf-hs mode. three formulas for r_tot_size are: for t1/e1 sdf-fr: r_tot_size = no. of ds0s - 1 for t1 sdf-mf and e1_w_t1_sig: r_tot_size = for e1 sdf-mf: r_tot_size = field (bits) description not used (15:13) write with a 0 to maintain future software compatibility. last_chan (12:8) channel number (0 to 31) of the last ds0 with a bit set in the r_chan_alloc bit table (refer to r_chan_alloc(15:0) word format and r_chan_ alloc(31:16) word format on page 161 ). not used in udf-ml or udf-hs modes. not used (7:6) write with a 0 to maintain future software compatibility. field (bits) description 24 ( no. of ds0s ) no. of ds0s 1 + () 2 ------------------------------------------- - 1 C + 16 ( no. of ds0s ) no. of ds0s 1 + () 2 ------------------------------------------- - 1 C +
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  r_tot_left word format note: this word is read-only and is maintained by ralp. r_sn_config word format this word is maintained by the microprocessor. reserved (5:4) write with a 0 to maintain future software compatibility. r_data_last (3:0) number of signaling bytes in the structure, minus one (for example, for an e1 sdf-mf vc with six ds0s, r_data_last is set to 2). an e1-sdf-mf vc with seven ds0s is set to 3 as one signaling nibble is unused. not used in udf-ml or udf-hs mode. field (bits) description not used (15:10) driven with a 0. mask on reads to maintain future software compatibility. r_tot_left (9:0) total bytes minus one remaining in the structure. not used in udf-ml or udf-hs mode. field (bits) description r_condq_data (15:8) value of conditioned data inserted into lost cells depending on the value of insert_data. not used (7) write with a 0 to maintain future software compatibility. insert_data (6:5) controls the format of the data inserted for lost cells: 00 b insert ff h . 01 b insert data from r_condq_data. 10 b insert old data from receive buffer. 11 b insert data from r_condq_data with the msb controlled by the pseudorandom number algorithm x 18 + x 7 + 1 (not valid for udf-hs). disable_sn (4) if set, sequence number processing is disabled. statistics will still be kept but no cells will be dropped due to sn errors. field (bits) description r_data_last no. of ds0s 1 ) + ( 2 -------------------------------------------- - 1 C =
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  r_chan_alloc(15:0) word format r_chan_alloc(31:16) word format r_dropped_cells word format nodrop_in_start (3) in the fast sn algorithm for sn processing, the first cell received will always be dropped because a sequence has not been established yet. this bit disables the automatic dropping of cells while in the start state. refer to section 3.6 receive adaptation layer processor (ralp) starting on page 46 for more information. 0 when sn_state (refer to sn_state on page 155 ) equals 000 b , any received cell will be dropped. 1 when sn_state (refer to sn_state on page 155 ) equals 000 b , any received cell with valid snp will be accepted. max_insert (2:0) the maximum number of cells that will be inserted when cells are lost. if the number of cells lost exceeds max_insert, then the queue will be forced into underrun. if this value is set to 000 b , it is interpreted the same as 111 b , which means that up to seven cells will be inserted. field (bits) description r_chan_alloc (15:0) a bit table with a bit set per ds0 allocated to this queue for ds0s 15 to 0 on the line defined by queue ? 32. in udf-ml and udf-hs modes, initialize to ffff h . (ds0 15 is in bit 15). field (bits) description r_chan_alloc (15:0) a bit table with a bit set per ds0 allocated to this queue for ds0s 31 to 16 on the line defined by queue ? 32. in udf-ml and udf-hs modes, initialize to ffff h . (ds0 31 is in bit 15). field (bits) description r_dropped_cells (15:0) 16-bit rollover count of dropped non-oam cells. initialize to 0. once initialized, do not write to this word. cells may be dropped due to: ? pointer mismatch. ? overrun. ? blank allocation table (refer to r_chan_alloc(15:0) word for- mat on page 161 ). ? sn processing. ? structured cell received while in underrun but structure start has not been found yet. field (bits) description
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  r_underruns word format r_lost_cells word format r_overruns word format r_pointer_reframes word format r_ptr_par_err word format field (bits) description r_underruns (15:0) 16-bit rollover count of the occurrences of an underrun on this queue. this is the atmfcesbufunderflows counter. initialize to 0. once initialized, do not write to this word. underruns are counted by the ralp, which does not know an underrun occurred until a cell is received while in underrun. to ensure the underrun count is correct, the counter is not incremented until the queue exits the underrun state and enters the resume state underrun condition. to determine if the queue is in underrun, check the level of the r_underrun bit in r_line_state register (refer to r_line_state word format on page 156 ). if this bit is set, then increment the underrun count by one to get the current count. field (bits) description r_lost_cells (15:0) 16-bit rollover count of cells that were detected as lost. this is the atmfceslostcells counter in the ces specification. initialize to 0. once initialized, do not write to this word. field (bits) description r_overruns (15:0) 16-bit rollover count of the occurrences of an overrun on this queue. this is the atmfcesbufoverflows counter in the ces specification. initialize to 0. once initialized, do not write to this word. field (bits) description r_pointer_reframes (15:0) 16-bit rollover count of the occurrences of pointer reframes on this queue. this is the atmfcespointerreframes counter in the ces specification. initialize to 0. once initialized, do not write to this word. field (bits) description r_ptr_par_err (15:0) 16-bit rollover count of the occurrences of pointer parity errors on this queue. this is the atmfcespointerparityerrors counter in the ces specification. initialize to 0. once initialized, do not write to this word.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  r_misinserted word format 7.8.12 r_oam_queue organization: 256 cells 64 bytes base address: 0e000 h index: 20 h type: read/write function: the receive oam queue stores the oam cells and non-data cells received from the utopia interface. refer to section 3.6, receive adaptation layer processor (ralp), on page 46 for the definitions of oam and non-data cells. initialization: it is not necessary to initialize this structure. format: two data bytes per word. r_oam_cell_n format field (bits) description r_misinserted (15:0) 16-bit rollover count of the occurrences of misinserted cells on this queue. this is the atmfcesmisinsertedcells counter in the ces specification. initialize to 0. once initialized, do not write to this word. offset name description 00000 h r_oam_cell_0 receive oam cell 0 00020 h r_oam_cell_1 receive oam cell 1 . . . . . . . . . 01fff h r_oam_cell_255 receive oam cell 255 offset bits 15:8 bits 7:0 word 0 header 1 header 2 word 1 header 3 header 4 word 2 header 5 (hec) blank word 3 payload 1 payload 2 . . . . . . . . . word 26 payload 47 payload 48 word 27 crc_10_pass
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  crc_10_pass word format 7.8.13 reserved (receive data buffer) note: this structure is reserved and must be initialized to 0 at initial setup. if rx_cond for some channels is set to 11 (insert old data during underrun), then those chan- nels may need to be initialized to some other value if 0 data is unacceptable, since all the queues will reset to the underrun state. software modifications to this location after setup will cause incorrect operation. organization:each line has a separate receive data buffer consisting of 512 frame buffers. each frame buffer can store 32 bytes. for e1 structured data applications, this allows storage of 512 frames or 32 multiframes of data. structured t1 applications use only the first 24 bytes of each frame buffer for data storage. also, only the first 24 frame buffers of every 32 are used to store t1 structured data frames. this provides 384 frames of storage, or 16 multiframes. unstructured applications store 256 bits of data in every frame buffer. for e1 with t1 signaling, use t1 structure but with 32 channels. base address: 10000 h index (line): 2000 h type: read/write function: the data buffers store receive data information. the data is stored in the buffers in the order that they will be played out to the lines. initialization: it is not necessary to initialize this structure. format: two data bytes per word. field (bits) description crc_10_pass (15) the crc_10_pass bit is set if the cell passes the crc-10 check. not used (14:0)
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  r_data_buffer_n word format 7.9 cmdreg (command register) organization: 1 register base address: 20000 h index: 1 h type: read/write hardware reset value: xx21 h function: allows the microprocessor to signal events to the aal1gator ii. format: refer to the following table. cmdreg word format field (bits) description r_data_h (15:8) receive data for: channel = (offset mod 16) 2 + 1. e1 frame = (offset mod 256) ? 16. t1 frame = (offset mod 512) ? 16. e1 multiframe = (offset mod 8192) ? 256. t1 multiframe = (offset mod 8192) ? 512. line = offset ? 8192. e1 offset = line 8192 + multiframe(e1) 256 + frame(e1) 16 + (chan-1) ? 2. t1 offset = line 8192 + multiframe(t1) 512 + frame(t1) 16 + (chan-1) ? 2. r_data_l (7:0) receive data for: channel = (offset mod 16) 2. e1 frame = (offset mod 256) ? 16. t1 frame = (offset mod 512) ? 16. e1 multiframe = (offset mod 8192) ? 256. t1 multiframe = (offset mod 8192) ? 512. line = offset ? 8192. e1 offset = line 8192 + multiframe(e1) 256 + frame(e1) 16 + channel ? 2. t1 offset = line 8192 + multiframe(t1) 512 + frame(t1) 16 + channel ? 2. field (bits) description not used (15:8) write with a 0 to maintain compatibility with future software versions. (reserved) proc_test_access (7) initialize to 0. when set, destructively allows access to the internal fifos for testing purposes. the device should be reset with either the /reset pin or the sw_reset register after this operation is performed. for production test use only. clr_rx_oam_latch (6) when set, causes the receive oam interrupt latch to be cleared. on read: 1 means an oam interrupt is present. 0 means an oam interrupt is not present. sw_reset (5) when set, causes all of the device except the microprocessor interface to be held in reset. while set, the external sram may be accessed. when switching to or from udf-hs mode, this bit must be asserted. resets to 1.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  notes: ? send_oam _0 has a higher priority than send_oam_1. therefore, if the correct order of oam cell transmission is important, both bits should not be set at the same time. after send_oam_0 is requested to be sent, the software can build the second cell in memory, but should not set the send_oam_1 bit until it has detected that the send_oam_0 bit has been cleared. ? both attention bits (csd_attn and cmd_reg_attn) cannot be set simulta- neously. dual port ram testing is enabled when the proc_test_access bit is asserted. all processor accesses will then be directed to the internal rams. address bits 6:0 are used to provide the address for the write port and bits 13:7 are used to provide the address for the read port. bit 15 and 14 select the respective ram based upon the following code. 7.10 activating a new line after reset the microprocessor can activate or deactivate a new line at any time without affecting lines already in service. the microprocessor should change the line and then set the line cmd_reg_ attn bit in the microprocessor attention register, informing the aal1gator ii that it must interrogate the memory for line status changes. after the requested change has been performed, the aal1gator ii clears the attention bit. the microprocessor must not request another change csd_attn (4) when written with a 1, causes the device to or the t_add_queue table with the first entry in the calendar queue, thereby starting up vcs. resets to 0. reads as 0 when the operation is complete. this operation normally takes up to 3 ms, but is blocked if the talp is not allowed to build new cells. in the presence of severe backpressure at the transmit utopia port, the csd_attn bit may not clear. cmd_reg_attn (3) when written with a 1, causes the device to write the device revision code into the device_rev location, read the comp_lin_reg location, and finally read the eight lin_str_mode locations. reads as a 0 when the operation is complete. resets to 0. send_oam_1 (2) a write of 1 causes the cell in the tx oam buffer 1 to be sent. reads as a 0 when the cell has been sent. resets to 0. send_oam_0 (1) a write of 1 causes the cell in the tx oam buffer 0 to be sent. reads as a 0 when the cell has been sent. resets to 0. oam_int_mask (0) when set, disables the oam receive interrupt. resets to 1. bits (15:14) description 00 not used. 01 signal data fifo. 10 receive fifo. 11 transmit fifo. field (bits) description
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  until it recognizes the attention bit is no longer set. failure to observe this requirement can result in loss of attention requests. all parameters must be set up before cmd_reg_attn is asserted. 7.11 activating a new queue on an active line before a new queue on an active line can be activated, all transmit queue table structures must be properly set up. refer to section 7.6.8 t_queue_tbl on page 134 . the proper bit in the t_ add_queue table is then set, followed by an assertion of the csd_attn bit. notes: ? only bits for new queues in the t_add_queue table should be set when the csd_ attn bit is set. if bits are left set from previous add queue operations, the cell genera- tion process will be corrupted. ? before reactivating a previously active queue, be sure to reinitialize all the registers in the queue table for that queue. ? if backpressure on the transmit utopia port is severe enough to prevent the talp from building cells when they are scheduled, the csd_attn bit will not clear until the talp has a chance to build those cells. under this condition, the csd_attn bit may not clear until the backpressure stops. note that a vc is not considered to be started until the csd clears the csd_attn bit. in udf-hs mode, the csd_attn bit is not used. simply being in udf-hs mode and not in software reset and having the tx_active bit set for queue 0 will activate the queue. 7.12 making changes to an active queue before reconfiguration, a queue should be deactivated by clearing the active bit in the transmit queue table (refer to section 7.6.8 t_queue_tbl on page 134 ). the queue will not be deactivated until the next entry in the calendar is encountered. the time for the next calendar entry to be encountered is: (frames_per_cell + 1) the frame rate notes: ? the frame rate is typically 125 m s. refer to frames_per_cell on page 136 . ? before reactivating a previously active queue, be sure to reinitialize all the registers in the queue table for that queue.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  8 application notes 8.1 ds1 application figure 86 shows a typical application of the aal1gator ii in a network. data is received from the ds3 network and microprocessor and split into 28 ds1 lines. the aal1gator ii segments this data into atm cells and transmits it to the utopia. the atm routing table (wac-187-x) appends a 6-byte routing tag and transmits the data to an atm switch fabric as 118 nibbles. in the transmit to ds3 direction, the atm routing table (wac-187-x) strips the 6-byte routing tag and presents the data to the aal1gator ii in a 53-byte cell format. the aal1gator ii then translates the vpi/vci information into the logical channel numbers for the t1 line and plays the data out at the appropriate time. figure 86. typical ds1 application microprocessor ds3mx pm8318 aal1gator ii (pm73121) ds1(1-8) ds1(9-16) ds1(17-24) ds1(25-28) atm routing table (wac-187-x) ds3 line module (att) ds3 liu ds3, b3zs sram 4 to switch fabric 4 utopia tquad pm4344 4
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  8.2 interface circuit with typical framer the aal1gator ii can interface with a variety of t1 and e1 framers. a typical t1 quad pmc interface is shown in figure 87 figure 87. typical pmc quad framer interface 4 4 4 4 4 4 4 4 4 btpcm tl_ser 193 btsig btclk tclki btfp 4 4 4 rclki brfpi brpcm brsig brfpo rl_ser rl_sig rl_fsync rl_msync rl_clk rclk quad t1 framer (pmc 4344) aal1gator ii (pm73121) tl_sig tl_fsync tl_msync tl_clk tclk rclko
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  8.3 ds3 application for ds3 applications, the aal1gator ii can handle one ds3 or e3 line with one vci in an unstructured data format. figure 88 shows the aal1gator ii in a ds3 application. figure 88. typical ds3 application to switch fabric microprocessor aal1gator ii (pm73121) atm routing table (wac-187-x) ds3, b3zs utopia sram ds3 framer ds3 ds3 line module
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  8.4 external fifo application figure 89 shows how to connect the aal1gator ii to two 9-bit integrated device technology syncfifos?. on the ratm interface, a d-type flip-flop is required on the /ratm_empty signal since the syncfifo /ef signal does not conform to utopia timing. on the tatm interface, the syncfifo must be able to accept four more data bytes after it asserts the /tatm_ full signal. this is accomplished by using the syncfifo /paf flag and its full-7 bytes default offset. figure 89. typical external fifo application /paf tatm_data(7:0) tatm_clk /tatm_en /tatm_full tatm_soc d(8:0) wclk /wen1 /paf 9 8 q(8:0) rclk /ren1 /ef external transmit fifo ratm_data(7:0) ratm_clk /ratm_en /ratm_empty ratm_soc q(8:0) rclk /ren1 /ef 9 8 d(8:0) wclk /wen1 external receive fifo /oe aal1gator ii (pm73121) ratm_clk_source tatm_clk_source q d clk (idt syncfifo*) (idt syncfifo*) 9 8 *note: manufacturers data sheets are subject to change. please confirm specifications before using this part.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  8.5 external srts-based clock recovery application while the aal1gator ii has built-in srts, to remain backward compatible with previous versions of the device, external based srts is still supported. external srts-based clock recovery can be accomplished with the circuitry shown in figure 90 on page 173 . the circuit produces a clock around the nominal t1 or e1 frequency derived from the network clock. this clock frequency is then increased or decreased based on the srts difference produced by the aal1gator ii. the following requirements apply to the 38.88 mhz clock: ? if srts is being used, the clock generation logic and aal1gator ii must use the same 38.88 mhz clock. the srts information passes from the aal1gator ii to the clock generator logic across a synchronous boundary. ? if a nominal frequency clock is generated and the generated clock needs to be locked to a network clock, the clock generation logic must use a network-derived 38.88 mhz clock only. ? if a locally generated 38.88 mhz clock is used, the clock must be within the tolerance of the network clock requirements. for e1, this is 50 ppm (g.703) and for t1, it is 130 ppm (t1.403). other specifications exist for different modes of operation. refer to appendix b, references, on page 203 for a list of references. pmc-sierra, inc. has secured an agreement with bellcore which allows pmc-sierra to freely market and sell components that contain the srts function, such as the aal1gator ii product. however, the bellcore-pmc-sierra agreement does not provide any patent infringement protection to any users (that is, equipment manufacturers) of aal1gator ii products. any equipment manufacturer which makes use of the srts function (by using an aal1gator ii product or via some other implementation) needs to determine if it may be necessary to establish a separate licensing agreement with bellcore. note: as pmc-sierra understands it, bellcore will not license the srts patent to silicon manufacturers. instead, it is bellcores desire to license the srts patent under a roy- alty arrangement only to equipment manufacturers. the atm forum states that bellcore must make this patent available under fair and equitable conditions. bellcore believes they are satisfying this requirement by offering the license to the equipment manufacturers rather than to the silicon manufacturers.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  figure 90. srts-based clock recovery circuitry 38.88 mhz srts tl_clk tl_clk_src (1.544 mhz) tl_clk_src = 38.88 (193 + srts) ? (26 35 + 25 (158 + srts)) = 1.544 if srts = 0 t1 frequency generation tl_clk_src (2.048 mhz) tl_clk_src = 38.88 (64 - srts) ? (18 + 19 (63 - srts)) = 2.048 if srts = 0 e1 frequency generation or aal1gator ii (pm73121) clock generation logic clock smoother ltx305a or t7690 div_25 (158 + srts) times div_26 35 times div (193 + srts) div_19 (63 - srts) times div_18 one time div (64 - srts) div_19 or div_18 div_25 or div_26 clock generation logic: 38.88 mhz 38.88 mhz
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  8.6 board requirements for the sram interface the aal1gator ii can use industry-standard asynchronous srams for its external memory. the aal1gator ii provides control signals that control all data accesses to the sram. figure 91 is an example of how the sram should be incorporated into the aal1gator ii system design. table 26 on page 195 lists the recommended worst-case parameters for each component. parts must meet these requirements to run this interface at full speed. srams must be 12 ns or faster and must have a write data setup of 7 ns or faster to operate at the maximum system clock rate. figure 91. suggested aal1gator ii memory interface 17 aal1gator ii (pm73121) (2) 128k 8 srams octal buffers with tristate outputs (fct244) octal bus transceivers and registers (fct646) microprocessor 16 mem_addr(0:16) /mem_we(0) 17 /mem_we(1) /mem_cs /mem_oe dir g cab sba cba /proc_rd /proc_wr /proc_cs /proc_ack proc_int sp_data_clk sp_data_dir /sp_data_en /sp_add_en sys_clk a(0:16) /we(0) /we(1) /cs /oe proc_data (0:15) proc_add (0:16) (optional) addr17 mem_data(0:15) 16 d(0:15) sab proc_add (17) g b(0:15) a(0:15) y(0:16) 16 holdoff a(0:16) notes: series terminating resistors are 33 w to 100 w. see this application note for requirements for /mem_we resistors. *manufacturers data sheets are subject to change. please confirm specifications before using this part. vcc gnd
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  the following section details how to ensure the sram interface will work over all commercial environmental conditions. examples are also provided for a few different scenarios. the generic equations are provided so other scenarios can be evaluated. due to its tight requirements, the write data setup and write data hold are the most critical parameters for sram selection. both of these parameters are derived from the high pulse width of the sys_clk input. the setup and hold times of the sram are based on: ? the high time of sys_clk ? the series termination resistor value for /mem_we adding a series resistor will increase the rise/fall time due to an increase in the rc constant. based on the slew rate output of the driver, the value of the resistor, and a 15 pf load, the delay at 1.5 v will be increased by the amount shown in table 24. table 24. delay values for different resistors using a resistor value greater than 100 w is not recommended, since this will cause rise/fall times that are too slow and will not match the board impedance close enough, which can cause reflections. the high pulse width of sys_clk, plus the delay through the resistor must ensure the rising edge of /mem_we is slow enough to provide sufficient setup time, but fast enough to provide enough hold time. the generic equations for determining the sram setup and hold requirements are: sram write setup = tch min - 4.3 + rs sram write data hold = tp - tch max - rs -10 where: tch is the high pulse width of sys_clk at 1.5 v, tp is the clock period, and rs is the delay through the resistor. resistor ( w ) delay (ns) 33 0.3 50 0.4 75 0.6 100 0.7
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  to determine tch min and tch max , the minimum and maximum duty cycle must be defined. also if a cmos driver is being used, the minimum and maximum rise and fall times of the driver must be defined. since high-speed srams use ttl input thresholds, all output timing parameters are measured at 1.5 v. the sys_clk input, like all the aal1gator ii inputs, uses ttl input levels. due to tight timing requirements on the minimum pulse width required for sys_clk, a cmos driver can be used to generate sys_clk to improve the pulse width. the rise/fall time of the signal will cause the high pulse width measured at 1.5 v to be larger then the pulse width measured at 2.5 v. the following sections provide four case examples: ? using an sram with 7 ns write data setup and a ttl clock. ? using an sram with 7 ns write data setup and a cmos clock. ? using an sram with 8 ns write data setup and a ttl clock. ? using an sram with 8 ns write data setup and a cmos clock. 8.6.1 sram with 7 ns write data setup and a ttl clock referring back to the setup and hold equations: sram write setup = tch min - 4.3 + rs sram write data hold = tp - tch max - rs -10 assuming we want to have a 0.5 ns margin on both setup and hold time, the requirements to meet are: tch min - 4.3 + rs 3 7.5 ns tp - tch max - rs -10 3 0.5 ns a 5% duty cycle ttl clock source at 38.88 mhz has a tch min of 11.6 ns and a tch max of 14.1 ns at 1.5 v. replacing tch min and tch max in the equations above gives: rs 3 7.5 + 4.3 -11.6 3 0.2 ns rs 25.7 - 14.1 - 10 - 0.5 1.1 ns referring to table 24 on page 175 , selecting a 50 w resistor would meet both of these requirements. in summary, one possible solution when using an sram with 7 ns setup is to use a 5% duty cycle ttl clock source and a 50 w series resistor. to increase the margin, the tolerance on the clock
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  could be tightened, or a cmos clock driver could be used. the rise/fall time of the clock should be less than 1.5 ns. 8.6.2 sram with 7 ns write data setup and a cmos clock when using a cmos clock, the rise and fall time of the clock needs to be taken into account to determine what the pulse width will be at a ttl level. the benefit of using a cmos clock is that the pulse width will be wider at the ttl level then it will be at the cmos level. rise/fall times for cmos outputs are usually given from the 20% to the 80% level or across a 3 v range. dividing this number by 3 gives the approximate delay between the 1.5 v level and the 2.5 v level. since the delay occurs at both rising and falling edges, this value is doubled to give the increase in setup time. the hold time is usually the critical factor with a cmos clock driver. for instance, if the rise/fall time is 3 ns, there will be a 1 ns delay from a transition at 1.5 v to the transition at 2.5 v and, a 2 ns increase in setup time. this time we will have 1 ns margin on setup and 0.5 ns margin on hold. the requirements to meet are: tch min - 4.3 + rs 3 8.0 ns tp - tch max - rs -10 3 0.5 ns a 2.5% duty cycle cmos clock source at 38.88 mhz has a tch min of 12.2 ns and a tch max of 13.5 ns at 2.5 v. a rise/fall time of 0.5 ns (from 20-80%) results in a gain of 0.33 ns at 1.5 v and would change tch min to 12.53 ns. a rise/fall time of 2 ns (from 20-80%) results in a gain of 1.33 ns at 1.5 v and would change tch max to 14.83 ns. replacing tch min and tch max in the equations above gives: rs 3 8.0 + 4.3 -12.53 3 - 0.23 ns rs 25.7 - 14.83 - 10 - 0.5 0.37 ns referring to table 24 on page 175 shows that selecting a 33 w resistor would meet both requirements. note that the negative resistance value in the first equation indicates additional margin. in this situation, the hold time is more critical and is dependent on the clock duty cycle. selecting a 5% clock would create a hold time problem unless either the required margin was reduced or the maximum rise time was reduced. in summary, one possible solution when using an sram with 7 ns setup is to use a 2.5% duty cycle cmos clock (with a minimum rise/fall time of 0.5 ns and a maximum rise/fall time of 2 ns) and a 33 w series resistor.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  8.6.3 sram with 8 ns write data setup and a ttl clock when using a ttl clock with an sram with a 8 ns setup requirement, the clock duty cycle requirement is very tight. one way to tighten up the duty cycle of a clock is to derive the clock from a higher frequency. since each edge will be evenly spaced, the only way to affect symmetry is to adjust the difference in the rise and fall time at 1.5 v. assuming we want to have a 0.5 ns margin on both setup and hold time, the requirements to meet are: tch min - 4.3 + rs 3 8.5 ns tp - tch max - rs -10 3 0.5 ns a 1% duty cycle ttl clock source at 38.88 mhz has a tch min of 12.6 ns and a tch max of 13.1 ns. replacing tch min and tch max in the equations above gives: rs 3 8.5 + 4.3 -12.6 3 0.2 ns rs 25.7 - 13.1 - 10 - 0.5 2.1 ns referring to table 24 on page 175 shows that selecting a 50 w resistor would meet both requirements. in summary, one possible solution when using an sram with 8 ns setup is to use a 1% duty cycle ttl clock source and a 50 w series resistor. to increase the margin, a higher value resistor or a cmos clock driver could be used. a resistor value greater than 100 w should not be used since this will cause rise/fall times that are too slow and will not match the board impedance close enough. the rise/fall time of the clock should be less than 1.5 ns. 8.6.4 sram with 8 ns write data setup and a cmos clock when using a cmos clock, the rise and fall time of the clock needs to be considered to determine the pulse width at a ttl level. the benefit of using a cmos clock is that the pulse width will be wider at the ttl level than at the cmos level. rise/fall times for cmos outputs are usually given from the 20% to the 80% level, or across a 3 v range. dividing this number by 3 gives the approximate delay between the 1.5 v level and the 2.5 v level. since the delay occurs at both rising and falling edges, this value is doubled to give the increase in setup time. usually the critical factor with a cmos clock driver is the hold time. for example, if the rise/fall time is 3 ns, there will be a 1 ns delay from a transition at 1.5 v to the transition at 2.5 v, and a 2 ns increase in setup time. assuming we want a 0.5 ns margin on both setup and hold time, the requirements to meet are: tch min - 4.3 + rs 3 8.5 ns
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  tp - tch max - rs -10 3 0.5 ns a 2.5% duty cycle cmos clock source at 38.88 mhz has a tch min of 12.2 ns and a tch max of 13.5 ns at 2.5 v. a rise/fall time of 0.5 ns (from 20-80%) results in a gain of 0.33 ns at 1.5 v and would change tch min to 12.53 ns. a rise/fall time of 2 ns (from 20-80%) results in a gain of 1.33 ns at 1.5 v and would change tch max to 14.83 ns. replacing tch min and tch max in the equations above gives: rs 3 8.5 + 4.3 -12.53 3 0.27 ns rs 25.7 - 14.83 - 10 - 0.5 0.37 ns referring to table 24 on page 175 , selecting a 33 w resistor would meet both of these requirements. in summary, a possible solution when using an sram with 8 ns setup is to use a 2.5% duty cycle cmos clock (with a minimum rise/fall time of 0.5 ns and a maximum rise/fall time of 2 ns) and a 33 w series resistor. to improve margin, either the duty cycle needs to be tightened or the maximum rise time needs to be reduced, which would allow a larger resistor. 8.6.5 layout the delay information provided assumes a 15 pf load on the /mem_we signals that have one load and a 30 pf load on the mem_data outputs that have two loads. the trace capacitance is approximately 2 pf per inch and the input pin capacitance is about 7 pf. therefore, the sram trace lengths should be approximately four inches long. also, the series resistor should be placed as close as possible to the source pin.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  8.7 udf-hs mode srts-based clock recovery application for ds3 while the aal1gator ii has built-in srts clock recovery for t1/e1 rates, external circuitry is required for ds3/e3 rates in udf-hs mode. srts-based clock recovery can be accomplished with the circuitry shown in figure 92. the circuit generates a clock at the 44.736 mhz ds3 frequency. this circuit functions as follows. the aal1gator ii asserts srts_strb indicating a new srts nibble is available on srts_dout. in udf-hs mode, the srts_strb and srts_dout signals are driven from the rising edge of n_clk, thus srts_strb should be used to clock the srts_dout nibble in d-type flip flops. once latched, a lookup table (refer to table 25) is used to convert the srts_dout nibble into an 8-bit code to drive an analog devices ad7801 8-bit digital-to-analog converter (dac). the dac output voltage then controls the ecliptek ? ec3125 voltage controlled oscillator (vco), which has a 44.736 mhz center frequency. the resulting ds3 clock rate is then fed to the aal1gator ii tl_clk(0) input and the liu. the n_ clk must be network derived, but the sys_clk does not need to be network derived. figure 92. srts-based clock recovery circuit table 25. memory interface system clock operating conditions srts_dout (binary) 8-bit dac code (hex) 0111 e7 0110 cd 0101 c0 vcc aal1gator ii (pm73121) tl_clk(0) vco (ec3125)* network- derived 77.76 mhz d0-d7 n_clk srts_strb srts_dout vout dac (ad7801)* srts epld ref in 4 8 to liu *note: manufacturers data sheets are subject to change. please confirm specifications before using this part.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  typically, srts nibbles delivered by the aal1gator ii oscillate between two values, such as 1100 b and 1011 b . to smooth out the resulting clock jitter, a more sophisticated mapping from srts nibbles to 8-bit dac codes can be used. some examples of such mappings are averages and running averages that access a lookup table with finer resolution than that provided by the srts nibbles. 0100 b3 0011 a6 0010 9a 0001 8d 0000 80 1111 73 1110 66 1101 5a 1100 4d 1011 40 1010 33 1001 26 1000 19 xxxx 80 table 25. memory interface system clock operating conditions (continued) srts_dout (binary) 8-bit dac code (hex)
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  8.8 interfacing with the mitel mt8980 digital switch figure 93 shows the aal1gator ii interface to the mitel ? mt8980 digital switch and figure 94 shows the required relationship among the timing signals that should be generated in the epld. figure 93. interfacing with the mitel mt8980 figure 94. interfacing timing with the mitel mt8980 sto0 sto1 sti0 sti1 c4 i f0 i tl_ser (0) tl_sig (0) rl_ser (0) rl_sig (0) rl_fsync tl_fsync (0) rl_msync (0) rl_clk (0) tl_clk (0) f0i fsync 4.096 mhz 2.048 mhz epld aal1gator ii (pm73121) mt8980 tl_msync (0) *note: manufacturers data sheets are subject to change. please confirm specifications before using this part. channel31 bit 0 channel0 bit 7 channel31 bit, last channel0 bit, 1st /c4(i) tl_clk, rl_clk /f0(i) fsync sto(0:7) sti(0:7)
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  8.9 interfacing with the atm cell multiplexer (wac-185-b-x) figure 95 shows the interface for connecting eight aal1gator iis (as phy layer devices) to the atm cell multiplexer (wac-185-b-x), an atm layer device. configure the aal1gator iis in multi-phy utopia mode by connecting the phy_enable input pin to v cc , and setting the sphy_en bit to 0 in the comp_lin_reg memory word (refer to section 7.4.2 comp_ lin_reg on page 125 ). configure the wac-185-b-x in multi-phy utopia mode by setting the atm_mphy_en bit to 1 in the gen_5 microprocessor port. in this mode, the wac-185-b-x will drive the eight addresses x00, x01, x02, x03, x04, x05, x06, and x07, and the null address x1f onto the ratm_addr/tatm_addr busses. the decoding logic shown in figure 95 should decode each of these addresses to an active low enable signal for each of the aal1gator iis. if only four, or fewer, aal1gator iis are to be connected to the wac-185-b-x, the external decode logic can be eliminated by implementing a single-phy utopia bus instead of a multi-phy utopia bus. in this case, configure the aal1gator iis in single-phy utopia mode by connecting the phy_enable input pin to v cc and setting the sphy_en bit to 1 in the comp_lin_reg memory word. configure the wac-185-b-x in single-phy utopia mode by setting the atm_mphy_en bit to 0 and the atm_cell_en bit to 1 in the gen_ 5 microprocessor port. (the atm_cell_en is set because the aal1gator ii implements cell-level handshaking due to its cell-based internal fifos.) in this mode the wac-185-b-x does
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  not drive addresses, so the ratm_addr/tatm_addr should be left unconnected, and each aal1gator ii is essentially connected to its own single-phy utopia bus. figure 95. connecting eight aal1gator iis to a wac-185-b-x wac-185-b-x rphy_clav /rphy_en phy_enable /rphy_addr rphy_clk aal1gator ii (pm73121) rphy_soc rphy_data tphy_clav /tphy_en /tphy_addr tphy_clk tphy_soc tphy_data +vcc 5 ratm_clav /ratm_read_en ratm_addr ratm_clk ratm_soc ratm_data tatm_clav /tatm_write_en tatm_addr tatm_clk tatm_soc tatm_data active low decode of address assigned to aal1gator ii 25 mhz 25 mhz 5 *note: manufacturers data sheets are subject to change. please confirm specifications before using this part. active low decode of address assigned to aal1gator ii
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  8.10 jitter characteristics of clock synthesis logic this section shows the results of jitter analysis of the clock synthesis circuitry built into the aal1gator ii. jitter was measured using a fireberd 6000a with the e1 or t1 jitter analyzer option and the jitter spectrum analysis option added. the clock synthesis logic is intended to be used with an external jitter attenuator. results are shown with and without the jitter attenuator in a level one lxt305a liu enabled. 8.10.1 nominal t1 clock the nominal t1 clock jitter was measured using a 2 20 -1 pseudorandom bit sequence (prbs) pattern in unstructured mode. the total maximum jitter without the jitter attenuator was 0.40 unit interval (ui). with a jitter attenuator the maximum jitter was 0.08 ui. see figure 96 and figure 97 for the jitter spectrum versus the g.824 mask. figure 96. nominal t1 clock with no jitter attenuator 0.001 0.01 0.1 1 10 1 10 100 1000 10000 100000 frequency(hz) jitter(ui) g.824 mask jitter
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  figure 97. nominal t1 clock with jitter attenuator 0.001 0.01 0.1 1 10 1 10 100 1000 10000 100000 frequency(hz) jitter(ui) g.824 mask jitter
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  8.10.2 nominal e1 clock the nominal e1 clock jitter was measured using a 2 15 -1 prbs pattern in unstructured mode. the total maximum jitter without the jitter attenuator was 0.11 ui. with a jitter attenuator the maximum jitter was 0.065 ui. see figure 98 and figure 99 for the jitter spectrum versus the g.823 mask. figure 98. nominal e1 clock with no jitter attenuator figure 99. nominal e1 clock with jitter attenuator 0.001 0.01 0.1 1 10 1 10 100 1000 10000 100000 frequency(hz) jitter(ui) g.823 mask jitter 0.001 0.01 0.1 1 10 1 10 100 1000 10000 100000 frequency(hz) jitter(ui) g.823 mask jitter
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  8.10.3 srts t1 clock the t1 srts clock jitter was measured using a 2 20 -1 prbs pattern in unstructured mode. two aal1gator iis were connected to each other across a point to point atm connection. one aal1gator ii was used to generate srts values, and the other aal1gator ii was used to receive the values. the source aal1gator ii was connected to a fireberd, which used an external signal generator as its clock source. the clock source was stepped across the entire 200 ppm range and the jitter was measured at the fireberd connected to the aal1gator ii at the receiving end. a jitter spectrum was then taken at the frequency that exhibited the worst jitter. the total maximum jitter without the jitter attenuator was 1.91 ui. with a jitter attenuator the maximum jitter was 0.26 ui, other than a spike at - 200 ppm. see figure 100 through figure 103 on page 190 for jitter versus frequency and the jitter spectrum versus the g.824 mask. figure 100. maximum t1 srts jitter with no jitter attenuator 0 0.5 1 1.5 2 2.5 1543600 1543800 1544000 1544200 1544400 frequency +/- 200 ppm max jitter srts
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  figure 101. maximum t1 srts jitter with jitter attenuator figure 102. t1 srts clock with no jitter attenuator 0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2 1543600 1543800 1544000 1544200 1544400 frequency +/- 200 ppm max jitter srts ja 0.01 0.1 1 10 1 10 100 1000 10000 100000 frequency(hz) jitter(ui) g.824 mask jitter
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  figure 103. t1 srts clock with jitter attenuator 0.01 0.1 1 10 1 10 100 1000 10000 100000 frequency(hz) jitter(ui) g.824 mask jitter
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  8.10.4 srts e1 clock the e1 srts clock jitter was measured using a 2 15 -1 prbs pattern in unstructured mode. two aal1gator iis were connected to each other across a point-to-point atm connection. one aal1gator ii was used to generate srts values, and the other aal1gator ii was used to receive the values. the source aal1gator ii was connected to a fireberd, which used an external signal generator as its clock source. the clock source was stepped across the entire 90 ppm range and the jitter was measured at the fireberd connected to the aal1gator ii at the receiving end. a jitter spectrum was then taken at the frequency that exhibited the worst jitter. the total maximum jitter without the jitter attenuator was 0.845 ui. with a jitter attenuator the maximum jitter was 0.42 ui. see figure 104 through figure 107 on page 193 for jitter versus frequency and the jitter spectrum versus the g.824 mask. figure 104. maximum e1 srts jitter with no jitter attenuator 0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2 2047700 2047800 2047900 2048000 2048100 2048200 2048300 frequency +/- 100 ppm max jitter
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  figure 105. maximum e1 srts jitter with jitter attenuator figure 106. e1 srts clock with no jitter attenuator 0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2 2e+06 2e+06 2e+06 2e+06 2e+06 2e+06 2e+06 fre que ncy +/- 100 ppm max jitter 0.001 0.01 0.1 1 10 1 10 100 1000 10000 100000 frequency(hz) jitter(ui) g.823 mask jitter
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  figure 107. e1 srts clock with jitter attenuator 0.001 0.01 0.1 1 10 1 10 100 1000 10000 100000 fr e que ncy(hz) jitter(ui) g.823 mask jitter
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  8.11 timing requirements on external logic for ram and microprocessor interface microprocessor accesses are controlled by the aal1gator ii. to properly access the aal1gator ii and the external memory, the aal1gator ii must be supported with a tristatable address buffer and a bidirectional, tristatable data latch. the ram and microprocessor interface has been optimized to work with a pair of 128k x 16 srams, a pair of fct646s, and a few fct244s, as shown in figure 108 on page 195. due to the asynchronous timing of these parts, the speed of the interface, and the interleaving of different types of read and write operations, the address, data, and control signals are skewed with respect to each other to provide the delicate balance that is required. it is strongly recommended that the architecture shown in figure 108 on page 195 is followed, especially if this interface is running near maximum speed. the components should also be placed near each other. if slower speeds or faster parts are used, alternative architectures can be used, as long as all timing parameters are met. note that the capacitance for the timings given assumes the architecture shown. other configurations may have different loadings. refer to section 8.6 board requirements for the sram interface on page 174 for important timing informa- tion regarding this interface.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  if using the architecture shown in figure 108 at maximum frequency (40.00 mhz), the recom- mended worst-case parameters for each part are listed in table 26 on page 195 . at lower speeds these numbers can be adjusted. figure 108. suggested aal1gator ii memory interface table 26. recommended worst-case parameters for suggested memory interface number parameter min max unit 1 maximum tristatable address buffer output enable time (fct244) 1 8 ns 2 maximum tristatable address buffer output disable time (fct244) 1 8 ns 3 maximum tristatable address buffer propagation delay (fct244) 1 8 ns 4 maximum bidirectional tristatable data latch output enable time (fct646) 10 ns 5 maximum bidirectional tristatable data latch output disable time (fct646) 10 ns aal1gator ii (pm73121) (2) 128k 8 srams octal buffers with tristate outputs (fct244)* octal bus transceivers and registers (fct646)* microprocessor 16 mem_addr(0:16) /mem_we(0) 17 /mem_we(1) /mem_cs /mem_oe dir g cab sba cba /proc_rd /proc_wr /proc_cs /proc_ack proc_int ? sp_data_clk sp_data_dir /sp_data_en /sp_add_en ? 40.00 mhz sys_clk a(0:16) /we(0) /we(1) /cs /oe proc_data (0:15) proc_add (0:16) (optional) addr17 mem_data(0:15) 16 d(0:15) sab address bus (17) g b(0:15) a(0:15) y(0:16) 16 holdoff a(0:16) vcc gnd notes: series terminating resistors are 33 w to 100 w. see application notes for guidelines regarding /mem_we resistor. * manufacturers data sheets are subject to change. please confirm specifications before using this part.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  the numbers in table 26 are used in figure 109 to figure 112 on page 197 to show the suggested memory interface timing relationships. 6 minimum bidirectional tristatable data latch data setup to clock (fct646) 5 ns 7 minimum bidirectional tristatable data latch data hold to clock (fct646) 3 ns 8 maximum bidirectional tristatable data latch propagation delay (fct646) 10 ns 9 maximum ram access delay from address stable and chip select active 15 ns 10 minimum ram data hold from address change 2 ns 10 minimum ram data hold from /oe or /cs high 0 ns 11 maximum ram output enable delay 7 ns 12 minimum ram data setup to write clock 7 ns 13 minimum ram data hold to write clock 0 ns 14 minimum ram address hold to write clock 0 ns 15 minimum ram write enable minimum pulse width 10 ns 16 minimum ram address setup to write start 1 ns 17 minimum ram address setup to write end 12 ns 18 maximum ram output disable delay 6 ns figure 109. address buffer (fct244) timing table 26. recommended worst-case parameters for suggested memory interface (continued) number parameter min max unit 1 2 3 fct244 /g y a
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  figure 110. bidirectional data latch (fct646) timing figure 111. ram read timing figure 112. ram write timing 4 5 8 7 6 fct646 /g a b cab dir 9 10 11 18 a(0:16) /cs d /oe 15 15 12 13 16 17 14 /we d a(0:16)
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  appendix a nomenclature a.1 definitions transmit signals: all signals related to processing the data heading towards the optical/elec- trical layer. receive signals: all signals related to processing the data heading towards the atm layer. a.2 signal name prefixes all pins and alarm names in the aal1gator ii have prefixes indicating the functional layer they implement (refer to table 27 ). a.3 numbers hexadecimal numbers are followed by the suffix h and binary numbers are followed by the suf- fix b . for example: 101 b or 2c h . decimal numbers appear without a suffix. table 27. prefixes and associated functional layers pin name prefix associated functional layer tatm transmit utopia atm layer. these signals should be connected to the phy layer. ratm receive utopia atm layer. these signals should be connected to the phy layer. tphy transmit utopia atm layer. these signals should be connected to the atm layer. rphy receive utopia atm layer. these signals should be connected to the atm layer.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  a.4 glossary of abbreviation table 28. standard abbreviations abbreviation description aal1 atm adaptation layer 1 acs atm circuit steering amon atm monitoring ams audio/visual multimedia service ansi american national standards institute atm asynchronous transfer mode cas channel associated signaling cbr constant bit rate ccs common channel signaling cdv cell delay variation cdvt cell delay variation tolerance ces circuit emulation service clp cell loss priority crc cyclic redundancy check crc-10 10-bit cyclic redundancy check csd cell service decision csi convergence sublayer indication dac digital-to-analog converter dacs digital access cross-connect system dds digital data service ds0 digital signal level 0 ds1 digital signal level 1 ds3 digital signal level 3 e1 european digital signal level 1 e3 european digital signal level 3 e4 european digital signal level 4 esf extended super frame
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  fct fairchild ttl compatible fifo first-in, first-out fpga field programmable gate array fxo foreign exchange office fxs foreign exchange subscriber gfc generic flow control hec header error check li line interface liu line interface unit lsb least significant bit m13 multiplexer level 1 to level 3 miac memory interface and arbitration controller mib management information base mod modulo mpeg2 motion picture experts group 2 mphy multi-phy msb most significant bit muldem multiplexer/demultiplexer oam operations, administration, and maintenance oc-1 optical carrier level 1 oc-3 optical carrier level 3 pbx private branch exchange pcr peak cell rate pdu protocol data unit pdh plesiochronous digital hierarchy phy physical pll phase-locked loop pcm pulse coded modulation table 28. standard abbreviations (continued) abbreviation description
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  prbs pseudorandom bit sequence pti payload type indicator ralp receive adaptation layer processor ratm receive utopia atm layer rftc receive frame transfer controller rl receive line rmon remote monitoring rutopia receive utopia rx receive sar segmentation and reassembly sdf-fr structured data format, frame-based sdf-mf structured data format, multiframe-based sdu service data unit sf super frame sn sequence number snp sequence number protection soc start-of-cell sonet synchronous optical network sp supervisory processor sphy single phy sram static random access memory srts synchronous residual time stamp talp transmit adaptation layer processor tatm transmit utopia atm layer tdm time division multiplexing tftc transmit frame transfer controller tl transmit line tlip transmit line interface processor table 28. standard abbreviations (continued) abbreviation description
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  ttl transistor-to-transistor logic tutopia transmit utopia tx transmit udf unstructured data format udf-hs unstructured data format, high speed udf-ml unstructured data format, multiple line ui unit intervals uni user network interface upc usage parameter control utopia universal test and operations physical interface for atm vc virtual circuit vci virtual circuit identifier vco voltage controlled oscillator vcxo voltage controlled crystal oscillator vhdl vhsic hardware description language vhsic very high speed integrated circuit vp virtual path vpi virtual path identifier table 28. standard abbreviations (continued) abbreviation description
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  appendix b references ? ansi t1 recommendation t1.403, network-to-customer installation - ds1 metallic interface , ny, ny, 1995. ? ansi t1 recommendation t1.630, broadband isdn-atm adaption layer for constant bit rate services, functionality and specification , ny, ny, 1993. ? atm forum, atm user network interface (uni) specification , v 3.1, foster city, ca usa, september 1994. ? atm forum, circuit emulation service - interoperability specification (ces-is) , v. 2.0, foster city, ca usa, august 1996. ? atm forum, utopia, an atm-phy layer specification , level 1, v. 2.01, foster city, ca usa, march 1994. ?atm forum, utopia, an atm-phy layer specification , level 2, v. 1.0, foster city, ca usa, june 1995. ? e3 framer (eac-030) users manual . ? itu-t recommendation g.703, physical/electrical characteristics of hierarchical digital interfaces , april 1991. ? itu-t recommendation i.363.1, b-isdn atm adaptation layer (aal) specification , july 1995.
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  notes
pmc-sierra, inc. pm73121  aal1gator ii long form data sheet pmc-980620 ,vvxh aal1 sar processor data sheet 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86(  ordering information ordering information table 29 lists the ordering information. table 29. ordering information part number description PM73121-RI 240-pin quad flat pack
none of the information contained in this document constitutes an express or implied warranty by pmc-sierra, inc. as to the suf ficiency, fitness, or suitability for a particular purpose of any such information of the fitness or suitability for a particular purpose, merchantab ility, performance, compatibility with other parts or systems, of any of the products of pmc-sierra, inc., or any portion thereof, referred to in this document. pmc-sierra, inc. expressly disclaims all representations and warranties of any kind regarding the contents or use of the information, including, but not l imited to, express and implied warranties of accuracy, completeness, merchantability, fitness for a particular use, or non-infringement. in no event will pnc-sierra, inc. be liable for any direct, indirect, special, incidental or consequential damages, including, but not limited to, lost profits, lost business or lost data resulting from any use or reliance upon the information, whether or not pmc-sierra, inc. has been ad vised of the possibility of such damage. ? 1999 pmc-sierra, inc. pmc-980620 (r3) issue date: january 1999 35235,(7$5<$1'&21),'(17,$/7230&6,(55$,1&$1')25,76&86720(56?,17(51$/86( pmc-sierra, inc. pm73121  aal1gator ii pmc-980620 ,vvxh aal1 sar processor data sheet contact information contacting pmc-sierra, inc. pmc-sierra, inc. 105-8555 baxter place burnaby b.c. canada v5a 4v7 tel: (604) 415-6000 fax: (604) 415-6200 document information: document@pmc-sierra.com corporate information: info@pmc-sierra.com application information: apps@pmc-sierra.com (604) 415-4533 web site: http://www.pmc-sierra.com


▲Up To Search▲   

 
Price & Availability of PM73121-RI

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X